Skip to content

el3ctrician/lfsr

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 

Repository files navigation

LFSR

an implementation for a linear feedback shift register in VHDL. Use for test purpose to act "random" data for simulated device. The design an abstracted version from the original design by Deepak Kumar Tala and Alexander H Pham avaliable here

Usage

add the component to your code and assign a seed integer and the output width

License

the code is released under GNU GPL v3.0 full license avaliable here!

Releases

No releases published

Packages

No packages published

Languages