Skip to content

Commit

Permalink
avalon/AvalonMM2Wishbone: Fix avl.readdatavalid.
Browse files Browse the repository at this point in the history
Multi-driven, remove assign in BURST-READ.
  • Loading branch information
enjoy-digital committed May 8, 2023
1 parent dd40c25 commit 3c03b6f
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions litex/soc/interconnect/avalon/avalon_mm_to_wishbone.py
Original file line number Diff line number Diff line change
Expand Up @@ -105,7 +105,8 @@ def __init__(self, data_width=32, address_width=32, wishbone_base_address=0x0, w
),
If(~avl.waitrequest,
NextValue(burst_address, burst_address + word_width),
NextValue(burst_count, burst_count - 1)),
NextValue(burst_count, burst_count - 1),
),
If(burst_count == 0,
burst_cycle.eq(0),
NextState("SINGLE")
Expand All @@ -121,7 +122,6 @@ def __init__(self, data_width=32, address_width=32, wishbone_base_address=0x0, w
wb.cti.eq(wishbone.CTI_BURST_END)
),
If(wb.ack,
avl.readdatavalid.eq(1),
NextValue(burst_address, burst_address + word_width),
NextValue(burst_count, burst_count - 1)
),
Expand Down

0 comments on commit 3c03b6f

Please sign in to comment.