Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SWD pin #21

Closed
Skywalkerf34 opened this issue Aug 31, 2021 · 3 comments
Closed

SWD pin #21

Skywalkerf34 opened this issue Aug 31, 2021 · 3 comments

Comments

@Skywalkerf34
Copy link

Hello,

thanks for sharing this really cool project
I have do assembly for few of those and trying to understand

What is usage of SWD ?

Do you have any schematic/PCB design available for Eagle PCB

Rgds

@freepdk
Copy link
Contributor

freepdk commented Sep 17, 2021

SWD is a protocol like JTAG. The pins can be used to connect a debug probe like "STLinkV2" during development of the firmware for the programmer.

https://en.wikipedia.org/wiki/JTAG#Similar_interface_standards

Schematics for easyeda ( https://easyeda.com/ ) are in this repository. Eagle is not available

@freepdk freepdk closed this as completed Sep 17, 2021
@benlovage
Copy link

What's the pinout on the SWD header? If everything works, connecting the ground pin and using the guess-and-check method should be a viable way to figure out the pin order, but if something's wrong, not knowing the pins would make debugging the circuit a PITA.

@freepdk
Copy link
Contributor

freepdk commented Dec 15, 2022

Hmmm... you are right, 2 SWD pins are not labeld in schematics.

But, SWD has only 4 pins (VCC,GND,SWDIO,SWDCLK).
Pin 1 (square) is shown in schematics as 3.3V and Pin 4 is GND.

So you only would need to try 2 possibilities. Chances that you get it right first time is 50% :-)

For your reference:

Pin1 (squared): 3.3V
Pin2: SWDIO
Pin3: SWDCLK
Pin4: GND

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants