Skip to content

Commit

Permalink
Use immutable getAnnotations in FirrtlTerpBackend (#189)
Browse files Browse the repository at this point in the history
  • Loading branch information
jackkoenig committed Feb 27, 2018
1 parent a37ae83 commit bf59b6a
Showing 1 changed file with 4 additions and 0 deletions.
4 changes: 4 additions & 0 deletions src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala
Expand Up @@ -119,6 +119,10 @@ private[iotesters] object setupFirrtlTerpBackend {

// the backend must be firrtl if we are here, therefore we want the firrtl compiler
optionsManager.firrtlOptions = optionsManager.firrtlOptions.copy(compilerName = "low")
// Workaround to propagate Annotations generated from command-line options to second Firrtl
// invocation, run after updating compilerName so we only get one emitCircuit annotation
val annos = firrtl.Driver.getAnnotations(optionsManager)
optionsManager.firrtlOptions = optionsManager.firrtlOptions.copy(annotations = annos.toList)
chisel3.Driver.execute(optionsManager, dutGen) match {
case ChiselExecutionSuccess(Some(circuit), _, Some(firrtlExecutionResult)) =>
val dut = getTopModule(circuit).asInstanceOf[T]
Expand Down

0 comments on commit bf59b6a

Please sign in to comment.