Skip to content

Commit

Permalink
Bump yosys to 0.8 (#1029)
Browse files Browse the repository at this point in the history
  • Loading branch information
azidar authored and mergify[bot] committed Feb 22, 2019
1 parent b383382 commit ea43e48
Show file tree
Hide file tree
Showing 3 changed files with 2 additions and 41 deletions.
37 changes: 0 additions & 37 deletions .fix_yosys_abc.patch

This file was deleted.

4 changes: 1 addition & 3 deletions .install_yosys.sh
Expand Up @@ -5,9 +5,7 @@ if [ ! -f $INSTALL_DIR/bin/yosys ]; then
git clone https://github.com/cliffordwolf/yosys.git
cd yosys
git pull
git checkout yosys-0.7
# Workaround moving ABC repo
git apply ../.fix_yosys_abc.patch
git checkout yosys-0.8
make
make PREFIX=$INSTALL_DIR install
fi
2 changes: 1 addition & 1 deletion scripts/formal_equiv.sh
Expand Up @@ -61,7 +61,7 @@ else
equiv_make top1 top2 equiv
hierarchy -top equiv
clean -purge
equiv_simple
equiv_simple -short
equiv_induct
equiv_status -assert
"
Expand Down

0 comments on commit ea43e48

Please sign in to comment.