Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error in BulkEquals using FixTypes #241

Closed
chick opened this issue Aug 16, 2016 · 1 comment
Closed

Error in BulkEquals using FixTypes #241

chick opened this issue Aug 16, 2016 · 1 comment
Assignees
Labels

Comments

@chick
Copy link
Contributor

chick commented Aug 16, 2016

(FixedType(IntWidth(6),IntWidth(4)),FixedType(IntWidth(6),IntWidth(4))) (of class scala.Tuple2)
scala.MatchError: (FixedType(IntWidth(6),IntWidth(4)),FixedType(IntWidth(6),IntWidth(4))) (of class scala.Tuple2)
    at firrtl.passes.CheckTypes$.firrtl$passes$CheckTypes$$bulk_equals$1(Checks.scala:487)
    at firrtl.passes.CheckTypes$$anonfun$firrtl$passes$CheckTypes$$bulk_equals$1$1$$anonfun$apply$mcVI$sp$1.apply$mcVI$sp(Checks.scala:498)
    at scala.collection.immutable.Range.foreach$mVc$sp(Range.scala:166)
    at firrtl.passes.CheckTypes$$anonfun$firrtl$passes$CheckTypes$$bulk_equals$1$1.apply$mcVI$sp(Checks.scala:494)
    at scala.collection.immutable.Range.foreach$mVc$sp(Range.scala:166)
    at firrtl.passes.CheckTypes$.firrtl$passes$CheckTypes$$bulk_equals$1(Checks.scala:493)
    at firrtl.passes.CheckTypes$.firrtl$passes$CheckTypes$$check_types_s$1(Checks.scala:513)
    at firrtl.passes.CheckTypes$$anonfun$firrtl$passes$CheckTypes$$check_types_s$1$2.apply(Checks.scala:529)
    at firrtl.passes.CheckTypes$$anonfun$firrtl$passes$CheckTypes$$check_types_s$1$2.apply(Checks.scala:529)
    at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
    at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
    at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
    at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
    at scala.collection.TraversableLike$class.map(TraversableLike.scala:245)
    at scala.collection.AbstractTraversable.map(Traversable.scala:104)
    at firrtl.Mappers$StmtMagnet$$anon$4.map(Mappers.scala:44)
    at firrtl.Mappers$StmtMap.map(Mappers.scala:95)
    at firrtl.passes.CheckTypes$.firrtl$passes$CheckTypes$$check_types_s$1(Checks.scala:529)
    at firrtl.passes.CheckTypes$$anonfun$run$2.apply(Checks.scala:536)
    at firrtl.passes.CheckTypes$$anonfun$run$2.apply(Checks.scala:532)
    at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
    at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
    at firrtl.passes.CheckTypes$.run(Checks.scala:532)
    at firrtl.SimpleRun$$anonfun$1$$anonfun$2.apply(LoweringCompilers.scala:48)
    at firrtl.SimpleRun$$anonfun$1$$anonfun$2.apply(LoweringCompilers.scala:48)
    at firrtl.Utils$.time(Utils.scala:57)
    at firrtl.SimpleRun$$anonfun$1.apply(LoweringCompilers.scala:48)
    at firrtl.SimpleRun$$anonfun$1.apply(LoweringCompilers.scala:46)
    at scala.collection.LinearSeqOptimized$class.foldLeft(LinearSeqOptimized.scala:124)
    at scala.collection.immutable.List.foldLeft(List.scala:84)
    at firrtl.SimpleRun$class.run(LoweringCompilers.scala:45)
    at firrtl.ResolveAndCheck.run(LoweringCompilers.scala:83)
    at firrtl.ResolveAndCheck.execute(LoweringCompilers.scala:97)
    at firrtl.Compiler$$anonfun$compile$1.apply(Compiler.scala:71)
    at firrtl.Compiler$$anonfun$compile$1.apply(Compiler.scala:70)
    at scala.collection.LinearSeqOptimized$class.foldLeft(LinearSeqOptimized.scala:124)
    at scala.collection.immutable.List.foldLeft(List.scala:84)
    at firrtl.Compiler$class.compile(Compiler.scala:70)
    at firrtl.LowFirrtlCompiler.compile(LoweringCompilers.scala:185)
    at firrtl_interpreter.ToLoFirrtl$.lower(ToLoFirrtl.scala:15)
    at firrtl_interpreter.FirrtlTerp.<init>(FirrtlTerp.scala:34)
    at firrtl_interpreter.FirrtlTerp$.apply(FirrtlTerp.scala:152)
    at firrtl_interpreter.InterpretiveTester.<init>(InterpretiveTester.scala:20)
    at chisel3.iotesters.FirrtlTerpBackend.<init>(FirrtlTerpBackend.scala:20)
    at chisel3.iotesters.setupFirrtlTerpBackend$.apply(FirrtlTerpBackend.scala:97)
    at chisel3.iotesters.Driver$.apply(Driver.scala:22)
    at examples.SimpleOneArgBundleSpec$$anonfun$2.apply$mcV$sp(OneArgSpec.scala:57)
    at examples.SimpleOneArgBundleSpec$$anonfun$2.apply(OneArgSpec.scala:59)
    at examples.SimpleOneArgBundleSpec$$anonfun$2.apply(OneArgSpec.scala:59)
    at org.scalatest.Transformer$$anonfun$apply$1.apply$mcV$sp(Transformer.scala:22)
    at org.scalatest.OutcomeOf$class.outcomeOf(OutcomeOf.scala:85)
@chick chick added the bug label Aug 16, 2016
azidar added a commit that referenced this issue Aug 17, 2016
@azidar
Copy link
Contributor

azidar commented Aug 17, 2016

Hopefully that fixes your issue! :)

@azidar azidar closed this as completed Aug 19, 2016
azidar added a commit that referenced this issue Oct 17, 2016
* WIP: Adding FixedType to Firrtl proper

Got simple example running through width inference
Checks should be ok
Need to look into FixedLiteral more

* Added simple test for fixed types

* Added asFixedPoint to primops

* Added tail case for FixedType

* Added ConvertFixedToSInt.scala

Added pass to MiddleToLowerFirrtl transform

* Replace AsFixedType with AsSInt in fixed removal

* Bugfix: constant from asFixed not deleted

* Added unit test for bulk connect

* Fixed partial connect bug #241

* Fixed missing case for FixedPoint in legalizeConnect

* Add FixedMathSpec that demonstrates some problems with FixedPointMath

* Fixed test and ConvertToSInt to pass.

Negative binary points not easily supported, needs much more time to
implement.

* Refactored checking neg widths

Make checking for negative binary points easier

* Added tests for inferring many FixedType ops

shl, shr, cat, bits, head, tail, setbp, shiftbp

* Handle bpshl, bpshr, bpset in ConvertFixedToSInt

Changed name from shiftbp -> bpshl, bpshr
Change name from setbp -> bpset
Added more tests

* Added set binary point test that fails

* Added simple test for zero binary point

* gitignore fixes for antlr intermediate dir and intellij dir

* removed unused imports
retool the fixed point with zero binary point test

* simplified example of inability to set binary point to zero

* Temporary fix for zero-width binary point

This fix allows for all widths to be zero, but since this is a feature I
am working on next, I'm not going to bother with a more stringent check.

* change version for dsp tools

* Removed extra temporary file

* Fixed merge bug

* Fixed another merge bug

* Removed commented out/unrelated files

* Removed snake case
@azidar azidar removed this from Finished in Firrtl Development Mar 16, 2017
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants