Skip to content

Commit

Permalink
Add missing parts of module implementation.
Browse files Browse the repository at this point in the history
  • Loading branch information
rbehrends committed May 15, 2018
1 parent dc62dea commit fe79cad
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions src/julia_gc.c
Original file line number Diff line number Diff line change
Expand Up @@ -554,6 +554,8 @@ void InitBags(UInt initial_size,
// jl_gc_enable(0); /// DEBUGGING
max_pool_obj_size = jl_extend_gc_max_pool_obj_size();
Module = jl_new_module(jl_symbol("ForeignGAP"));
Module->parent = jl_core_module;
jl_set_const(jl_core_module, jl_symbol("Intrinsics"), (jl_value_t*)Module);
datatype_mptr = jl_new_foreign_type(jl_symbol("MPtr"), Module, jl_any_type,
JMarkMPtr, NULL, 1, 0);
datatype_bag = jl_new_foreign_type(jl_symbol("Bag"), Module,
Expand Down

0 comments on commit fe79cad

Please sign in to comment.