Skip to content

Commit

Permalink
vhdl: introduce iir_kind_association_element_by_name
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Aug 6, 2021
1 parent ece6e78 commit 3b80a2e
Show file tree
Hide file tree
Showing 19 changed files with 789 additions and 690 deletions.
3 changes: 2 additions & 1 deletion pyGHDL/dom/_Translate.py
Original file line number Diff line number Diff line change
Expand Up @@ -176,7 +176,8 @@ def GetAssociations(node: Iir) -> List:
for item in utils.chain_iter(nodes.Get_Association_Chain(node)):
kind = GetIirKindOfNode(item)

if kind == nodes.Iir_Kind.Association_Element_By_Expression:
if kind in (nodes.Iir_Kind.Association_Element_By_Expression,
nodes.Iir_Kind.Association_Element_By_Name):
actual = nodes.Get_Actual(item)
expr = GetExpressionFromNode(actual)

Expand Down

0 comments on commit 3b80a2e

Please sign in to comment.