Skip to content

Commit

Permalink
Align files (#1131)
Browse files Browse the repository at this point in the history
Co-authored-by: github-actions <action@github.com>
  • Loading branch information
architectbot and actions-user committed Apr 12, 2024
1 parent dde0b97 commit b4efc3e
Show file tree
Hide file tree
Showing 8 changed files with 25 additions and 9 deletions.
4 changes: 3 additions & 1 deletion .github/workflows/zz_generated.check_values_schema.yaml
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/workflows/internal/file/check_values_schema.yaml.template
#
name: 'Values and schema'
on:
Expand Down
4 changes: 3 additions & 1 deletion .github/workflows/zz_generated.create_release.yaml
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/workflows/internal/file/create_release.yaml.template
#
name: Create Release
on:
Expand Down
4 changes: 3 additions & 1 deletion .github/workflows/zz_generated.create_release_pr.yaml
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/workflows/internal/file/create_release_pr.yaml.template
#
name: Create Release PR
on:
Expand Down
4 changes: 3 additions & 1 deletion .github/workflows/zz_generated.gitleaks.yaml
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/workflows/internal/file/gitleaks.yaml.template
#
name: gitleaks

Expand Down
6 changes: 4 additions & 2 deletions .github/workflows/zz_generated.run_ossf_scorecard.yaml
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/workflows/internal/file/run_ossf_scorecard.yaml.template
#

# This workflow uses actions that are not certified by GitHub. They are provided
Expand Down Expand Up @@ -73,6 +75,6 @@ jobs:

# Upload the results to GitHub's code scanning dashboard.
- name: "Upload to code-scanning"
uses: github/codeql-action/upload-sarif@1b1aada464948af03b950897e5eb522f92603cc2 # v3.24.9
uses: github/codeql-action/upload-sarif@4355270be187e1b672a7a1c7c7bae5afdc1ab94a # v3.24.10
with:
sarif_file: results.sarif
4 changes: 3 additions & 1 deletion Makefile
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/makefile/internal/file/Makefile.template
#

include Makefile.*.mk
Expand Down
4 changes: 3 additions & 1 deletion Makefile.gen.app.mk
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/makefile/internal/file/Makefile.gen.app.mk.template
#

##@ App
Expand Down
4 changes: 3 additions & 1 deletion Makefile.gen.go.mk
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# DO NOT EDIT. Generated with:
#
# devctl@6.23.3
# devctl
#
# https://github.com/giantswarm/devctl/blob/bde2dbd935e72c2705fd77638fe6914cd3d25699/pkg/gen/input/makefile/internal/file/Makefile.gen.go.mk.template
#

APPLICATION := $(shell go list -m | cut -d '/' -f 3)
Expand Down

0 comments on commit b4efc3e

Please sign in to comment.