Skip to content

Commit

Permalink
Add SIZE parameter to the ram module
Browse files Browse the repository at this point in the history
  • Loading branch information
grahamedgecombe committed Dec 27, 2018
1 parent fa201aa commit c894660
Showing 1 changed file with 4 additions and 2 deletions.
6 changes: 4 additions & 2 deletions ram.sv
@@ -1,7 +1,9 @@
`ifndef RAM
`define RAM

module ram (
module ram #(
parameter SIZE = 2048
) (
input clk,
input reset,

Expand All @@ -13,7 +15,7 @@ module ram (
input [31:0] write_value_in,
output logic ready_out
);
logic [31:0] mem [2047:0];
logic [31:0] mem [SIZE-1:0];
logic [31:0] read_value;
logic ready;

Expand Down

0 comments on commit c894660

Please sign in to comment.