Skip to content

Commit

Permalink
Updated the DE2-115 50MHash SOF binary, and fixed a small bug in the …
Browse files Browse the repository at this point in the history
…mine.tcl script.
  • Loading branch information
fpgaminer committed Jun 2, 2011
1 parent ada8faf commit bcda56c
Show file tree
Hide file tree
Showing 5 changed files with 9 additions and 3 deletions.
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ Project Status
--------------

Project is fully functional and allows mining of Bitcoins both in a Pool and Solo.
It can also support Namecoin mining with a minor modification (tested but not documented).
It also supports Namecoins.

**Current Performance:** 80 MHash/s

Expand Down
4 changes: 3 additions & 1 deletion projects/DE2_115_Unoptimized_Pipelined/fpgaminer.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -49,7 +49,7 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
set_location_assignment PIN_Y2 -to osc_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to osc_clk
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON
Expand All @@ -75,4 +75,6 @@ set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id
set_global_assignment -name EDA_TEST_BENCH_DESIGN_INSTANCE_NAME moogerfoogin -section_id eda_simulation
set_global_assignment -name POWER_USE_PVA OFF
set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 65%
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
set_global_assignment -name VERILOG_MACRO "CONFIG_LOOP_LOG2=0"
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
2 changes: 1 addition & 1 deletion scripts/mine/mine.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -145,7 +145,7 @@ proc submit_work {data nonce} {
set nonce [expr 0x$nonce]
#set nonce [expr {$nonce - 132}] # No longer need to re-adjust nonce, the FPGA takes care of that.
#puts "New nonce: $nonce"
set nonce [format %x $nonce]
set nonce [format %08x $nonce]
puts "New nonce hex: $nonce"

set hexdata1 [string range $data 0 151]
Expand Down
Binary file removed scripts/program/fpgaminer_50MHash_20110519a.sof
Binary file not shown.
4 changes: 4 additions & 0 deletions src/fpgaminer_top.v
Original file line number Diff line number Diff line change
Expand Up @@ -34,7 +34,11 @@ module fpgaminer_top (osc_clk);
// And so on.
//
// Valid range: [0, 5]
`ifdef CONFIG_LOOP_LOG2
parameter LOOP_LOG2 = `CONFIG_LOOP_LOG2;
`else
parameter LOOP_LOG2 = 0;
`endif

// No need to adjust these parameters
localparam [5:0] LOOP = (6'd1 << LOOP_LOG2);
Expand Down

0 comments on commit bcda56c

Please sign in to comment.