Skip to content

Commit

Permalink
gram.core.multiplexer: Fix regression introduced in 7d8339c
Browse files Browse the repository at this point in the history
  • Loading branch information
Jean THOMAS committed Aug 6, 2020
1 parent 3944f60 commit 3e42e3e
Showing 1 changed file with 4 additions and 0 deletions.
4 changes: 4 additions & 0 deletions gram/core/multiplexer.py
Original file line number Diff line number Diff line change
Expand Up @@ -367,6 +367,8 @@ def elaborate(self, platform):
m.d.comb += steerer.sel[i].eq(STEER_REQ)
elif i == settings.phy.rdcmdphase:
m.d.comb += steerer.sel[i].eq(STEER_CMD)
else:
m.d.comb += steerer.sel[i].eq(STEER_NOP)

with m.If(settings.phy.nphases == 1):
m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed))
Expand Down Expand Up @@ -396,6 +398,8 @@ def elaborate(self, platform):
m.d.comb += steerer.sel[i].eq(STEER_REQ)
elif i == settings.phy.wrcmdphase:
m.d.comb += steerer.sel[i].eq(STEER_CMD)
else:
m.d.comb += steerer.sel[i].eq(STEER_NOP)

with m.If(settings.phy.nphases == 1):
m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed))
Expand Down

0 comments on commit 3e42e3e

Please sign in to comment.