Skip to content

Commit

Permalink
Fix check-fast breakage in new enum test.
Browse files Browse the repository at this point in the history
  • Loading branch information
jld committed Oct 30, 2013
1 parent c0190a9 commit 86a710e
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/test/run-pass/enum-discrim-width-stuff.rs
Expand Up @@ -51,5 +51,5 @@ pub fn main() {
check!(s, i64, -0x1727374757677787);

enum Simple { A, B }
assert_eq!(std::mem::size_of::<Simple>(), 1);
assert_eq!(::std::mem::size_of::<Simple>(), 1);
}

1 comment on commit 86a710e

@huonw
Copy link

@huonw huonw commented on 86a710e Oct 30, 2013

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

r=alexcrichton

Please sign in to comment.