Skip to content

Commit

Permalink
Elvis
Browse files Browse the repository at this point in the history
  • Loading branch information
frankmayer committed Oct 2, 2016
1 parent 80f6fdb commit 97a4c6d
Showing 1 changed file with 1 addition and 1 deletion.
Original file line number Diff line number Diff line change
Expand Up @@ -113,7 +113,7 @@
<?php endif; ?>
</td>
<td class="nowrap hidden-phone">
<?php echo $item->created_by_alias ? $item->created_by_alias : $item->user_name; ?>
<?php echo $item->created_by_alias ?: $item->user_name; ?>
</td>
<td class="nowrap hidden-phone">
<?php echo JHtml::_('date', $item->created, JText::_('DATE_FORMAT_LC4')); ?>
Expand Down

0 comments on commit 97a4c6d

Please sign in to comment.