Skip to content

Commit

Permalink
UI interfaced with Date Time module
Browse files Browse the repository at this point in the history
  • Loading branch information
nbugg committed Dec 10, 2012
1 parent c75b491 commit cf2106f
Show file tree
Hide file tree
Showing 108 changed files with 10,378 additions and 5,603 deletions.
30 changes: 30 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.asy
Original file line number Diff line number Diff line change
@@ -0,0 +1,30 @@
Version 4
SymbolType BLOCK



RECTANGLE Normal 32 0 256 496
PIN 0 48 LEFT 36
PINATTR PinName addra[6:0]
PINATTR Polarity IN
LINE Wide 0 48 32 48
PIN 0 240 LEFT 36
PINATTR PinName clka
PINATTR Polarity IN
LINE Normal 0 240 32 240
PIN 0 272 LEFT 36
PINATTR PinName addrb[6:0]
PINATTR Polarity IN
LINE Wide 0 272 32 272
PIN 0 464 LEFT 36
PINATTR PinName clkb
PINATTR Polarity IN
LINE Normal 0 464 32 464
PIN 288 48 RIGHT 36
PINATTR PinName douta[7:0]
PINATTR Polarity OUT
LINE Wide 256 48 288 48
PIN 288 272 RIGHT 36
PINATTR PinName doutb[7:0]
PINATTR Polarity OUT
LINE Wide 256 272 288 272
100 changes: 100 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.mif
Original file line number Diff line number Diff line change
@@ -0,0 +1,100 @@
00000000
00000001
00000010
00000011
00000100
00000101
00000110
00000111
00001000
00001001
00010000
00010001
00010010
00010011
00010100
00010101
00010110
00010111
00011000
00011001
00100000
00100001
00100010
00100011
00100100
00100101
00100110
00100111
00101000
00101001
00110000
00110001
00110010
00110011
00110100
00110101
00110110
00110111
00111000
00111001
01000000
01000001
01000010
01000011
01000100
01000101
01000110
01000111
01001000
01001001
01010000
01010001
01010010
01010011
01010100
01010101
01010110
01010111
01011000
01011001
01100000
01100001
01100010
01100011
01100100
01100101
01100110
01100111
01101000
01101001
01110000
01110001
01110010
01110011
01110100
01110101
01110110
01110111
01111000
01111001
10000000
10000001
10000010
10000011
10000100
10000101
10000110
10000111
10001000
10001001
10010000
10010001
10010010
10010011
10010100
10010101
10010110
10010111
10011000
10011001
3 changes: 3 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.ngc
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$0004g<,[o}e~g`n;"2*413&;$>"9 > %18456382:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?01234<?>00=2:>5>.1f86s0789hm589"65287457:083>:<924076645:88;=4?7163550739:;9=<??082;42618<:?<>>=002305=1;?8==;>:94:63002=<>>?8<:1427=1>3?=<?99:;350751641:28;>8<52607646:2=1EC^ZT;cg`wg;>3:5=?58:HLSQQ<flmxi0;4?>018<?IR\Y__6iazt`>4>586;221CXZ_UU8gkpre4>0;29>494;7=6?5183;446786:5<0>30:294<6?785;3211?<=?;>9=70532<0?>><=:88;8:CG@WG;8730MIJ]A=33:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;><IMNYN1818:CG@WD;?720MIJ]B=:=<>GCL[H753<4B7:8FPUXAGLD=<5Miocq}ZrhWMohe`oc4:AOOD2<KEAI56M@MLKWP@B03JXNMYKK6:FJE969>2NBM1?16:FJE949>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJF969>2NBN1?16:FJF949>2NBN1=16:FJF929>2NBN1;16:FJF909>2NBN1917:FJTD:76>1OE]L30?48@JG;87<0HBO31?48@JG;:7<0HBO33?48@JG;<7<0HBO35?48@JG;>7<0HBO37?48@JD;87<0HBL31?48@JD;:7<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?58@JVF494<7IA_B=2=7>CII:1NBO?4G29DJA><AGC_\R>?8:KMMQVX8820ECG[P^21<>OIA]ZT<>64IOKWTZ6302CEEY^P04:8MKOSXV:=46GAIUR\421<AGC_S=>8;HLJPZ66?2CEEYQ?269JJLRX8:=0ECG[_164?LHN\V:>;6GAIU]322=NF@^T<:94IOKW[5>03@DBXR>67:KMMQY7I>1BBDZP0C58MKOSW9I<7D@FT^2G3>OIA]U;I:5FNHV\4C1<AGC_S<>8;HLJPZ76?2CEEYQ>269JJLRX9:=0ECG[_064?LHN\V;>;6GAIU]222=NF@^T=:94IOKW[4>03@DBXR?67:KMMQY6I>1BBDZP1C58MKOSW8I<7D@FT^3G3>OIA]U:I:5FNHV\5C1<AGC_S?>8;HLJPZ46?2CEEYQ=269JJLRX::=0ECG[_364?LHN\V8>;6GAIU]122=NF@^T>:94IOKW[7>03@DBXR<67:KMMQY5I>1BBDZP2C58MKOSW;I<7D@FT^0G3>OIA]U9I:5FNHV\6C1<AGC_S>>8;HLJPZ56?2CEEYQ<269JJLRX;:=0ECG[_264?LHN\V9>;6GAIU]022=NF@^T?:94IOKW[6>03@DBXR=67:KMMQY4I>1BBDZP3C58MKOSW:I<7D@FT^1G3>OIA]U8I:5FNHV\7C0<AGC_SL84IOKW[G0<DFKOII94LNEJGDJd3DkacXjrrkljf=JageyZh||inl1?K653G;87C??3:L256=I9;90B<=<;O377>H6=:1E=;=4N051?K453G987C=;3:L016=I;?90B>9<;O1;7>H41;1E8>5A4118J1743G>9?6@;329M015<F=?87C:93:L736=I<190B97=;O71?K053G=97C6=;O;4?KCS_FX@=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHm4R@OA53YT1VY2:6]@USAF3>UU^HFTM:5\RWCO[G2<[ZXJ86]\RC68P\VBi2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD18S@G43^OIn6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJMg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n99b`atf 9#37ljkr`*2-==flmxj$?'7;`fgvd.4!11jhi|n(5+;?dbczh">%55ndepb,3/?3hno~l2?>99b`atf48437ljkr`>1:==flmxj0>07;`fgvd:3611jhi|n<4<b?dbczh6=6=07;`fgvd:1611jhi|m(1+;?dbczk":%55ndepa,7/?3hno~o&<)99b`ate =#37ljkrc*6-==flmxi$;'7;`fgvg:7611jhi|m<0<;?dbczk69255ndepa868?3hno~o2;>99b`ate4<4j7ljkrc>5>58?3hno~o29>228fimjmdn{S{8P3-"[mioip)ID^H.Heogqeqiu(8:%=#><_hr00>ekch>0oaem8:flqqg/8 20hb{{a)3*<>bh}}k#>$64dnwwe-5.02ndyyo'4(:8`jssi!?"46j`uuc+2,><lfm%9&8:flqqg;8720hb{{a=3=<>bh}}k7>364dnwwe95902ndyyo34?:8`jssi5?546j`uuc?2;g<lfm1950?:8`jssi5=546j`uu`+4,><lfn%?&8:flqqd/: 20hb{{b)1*<>bh}}h#8$64dnwwf-3.02ndyyl'6(:8`jssj!="46j`uu`?4;><lfn1?18:flqqd;:720hb{{b=1=<>bh}}h78364dnwwf93902ndyyl36?c8`jssj5=1<364dnwwf91902ooek<age:8jbee}`fo=i5{mq-\gb)EagkyuRz`_Eg`mhgk&gmdqMNb79CD}75:3L187?tS3;9576=<3;8?=88a;37ec5|fmh1=6`kc;68 a?=l>1v_?6513290?74;9<<m7?;ag18W<e=9;;1<7?<3144e?73io?0_?6513394?74;9<<m7?;ag78`73=83;1=v]=9;314?2=9:9;::o515ce7>pSk10;6<4>:30xW7?=9;:187?<3144e?73io90(i?59e9U`d<5s|3n6<5z9g83?x"d<3?0n?;50;03>6<5:rBhj6T=4;6x<??=i3h1q)mk:378 a>=nk1bi>4?:%a5>`g<fj?1<65fe383>!e12lk0bn;51:9ja4<72-i=6ho4nb796>=nm90;6)m9:dc8jf3=;21bhk4?:%a5>`g<fj?1865fdd83>!e12lk0bn;55:9j`a<72-i=6ho4nb792>=nn;0;6)m9:g;8jf3=821bj<4?:%a5>c?<fj?1=65ff183>!e12o30bn;52:9jac<72-i=6k74nb797>=nml0;6)m9:g;8jf3=<21bii4?:%a5>c?<fj?1965feb83>!e12o30bn;56:9l553=83.h:7??d:l`1?6<3f;;87>5$b4955b<fj?1=65`11194?"d>3;;h6`l5;08?j77:3:1(n8511f8jf3=;21d==?50;&`2?77l2dh97:4;n334?6=,j<1==j4nb791>=hno0;6)m9:02g?ke22?10ckk50;&`2?77l2dh9794;n322?6=,j<1=<k4nb794>=h98?1<7*l6;32a>hd=3;07b?>4;29 f0=98o0bn;52:9l545=83.h:7?>e:l`1?5<3f;:>7>5$b4954c<fj?1865`10394?"d>3;:i6`l5;78?j7683:1(n8510g8jf3=>21d==h50;&`2?76m2dh9794;hd`>5<<aon1<75md183>4<729qCok5+ce8g4>id;3:17pl:1;295?6=8rBhj6*ld;72?j372900qo<<:1876?6=8rBhj6*ld;a4?!3f2?1/9o48;%7`>f=#=m087);j:39'1c<53-<;6?5+6081?!052;1/:>4=;%47>7=#><097)89:39'22<53-<36?5+6881?!0f2;1/:o4=;%4`>7=#>m097)8j:39'2c<53-=;6?5+7081?!152;1/;>4=;%57>7=#?<097)99:39'32<53-=36?5+7881?!1f2;1/;o4=;%5`>7=#?m097)9j:39'3c<53-2;6?5+8081?!>52;1/4>4=;%:7>7=#0<097)69:39'<2<53-236?5+8881?!>f2;1/4o4=;%:`>7=#0m097)6j:39'<c<53-3;6?5+9081?!?52;1/5>4=;%;7>7=#1<097)79:39'=2<53-336?5+9881?!352;1/9>4=;%77>7=#=<097);9:39'12<53-?36?5+5881?!b22m>0(4o51:&`=?7<,m<1h95+9c82?!ef281d?k4?::k06?6=3f>;6=44i0:94?=h<m0;66g<3;29?j262900e<o50;9j71<722c?i7>5;n61>5<<a=l1<75fe;29?l7e2900e>;50;9l06<722c:o7>5;h15>5<<ajh1<75fcb83>>o6l3:17d=8:188m4c=831b?54?::k1e?6=3`;m6=44ie83>>i3k3:17d=6:188m7d=831b>=4?::ke>5<<a;i1<75f2083>>o683:17dj=:188m7b=831bh>4?::k16?6=3`;:6=44i3g94?=n9;0;66g=f;29?l742900e>>50;9j51<722c8=7>5;h36>5<<a:h1<75f1883>>o6>3:17d?8:188k12=831d884?::k12?6=3`8<6=44o5494?=n;h0;66a;7;29?j2?2900c9750;9l0d<722e8o7>5;n6a>5<<g:n1<75`3d83>>{ti90;6<ht=43915=:::0o>63=3;aa?8442m901?=5cb9>66<6=279?7?9;<00>41<5;91i63=3;0b?8442;h01?=52b9>66<5l279?7<j;<00>7`<5;91?=5222805>;5;39j70<<:328975=:816>>4=2:?17?7>34886><4=31976=:::08863=3;16?8442:<01?=5369>66<40279?7=6;<00>6d<uzk:6=4<{<f3>f5<5;918h522287b>{tmk0;6?uQe29>66<6<2.hi7on;|qf=?6=:rTn>63=3;30?!eb2hh0q~k7:181[c634886<<4$bg9f7=z{l=1<7<t^d28975=981/oh4md:pa3<72;qUhk5222824>"dm3hn7p}j5;296~Xcm279?7h4$bg9fc=z{l>1<7<t^ef8975=l2.hi7m?;|qee?6=:rTm>63=3;3e?!eb2j;0q~h7:181[`634886<k4$bg9e7=z{o=1<7<t^g28975=9m1/oh4n3:pb3<72;qUik522282g>"dm3k?7p}i5;296~Xbm279?7?m;%af>d3<uzl?6=4={_gg?84428k0(nk5a79~wc5=838pRhm4=3195==#kl0j;6s|fb83>7}Ynj16>>4=6:&`a?g?3tymh7>52z\e`>;5;38<7)mj:`;8yv77m3:1>vP>049>66<3;2.hi7ol;|q24f<72;qU==:4=31907=#kl0jh6s|11`94?4|V8:870<<:538 fc=il1v<>n:181[77:279?7:?;%af>d`<uz;;57>52z\244=:::08j6*le;`3?xu6810;6?uQ1128975=;l1/oh4m1:p551=838pRkh4=3197a=#kl0i?6s|11494?4|Voo01?=53b9'g`<e<2wx=<h50;0xZ471348869l4$bg9f0=z{8;o6=4={_321>;5;3>j7)mj:c48yv76k3:1>vP>159>66<312.hi7l8;|q25g<72;qU=<=4=3190==#kl0i46s|10c94?4|V8;970<<:558 fc=j01v<?6:181[769279?7:9;%af>gg<uz;:47>52z\255=:::0?96*le;`a?xu69>0;6?uQ11d8975=<=1/oh4mc:~j24=83;pDnh4}o50>5<6sAim7p`84;295~Ndn2we;84?:0yKgc=zf><1<7?tHbd8yk10290:wEmi;|l4<?6=9rBhj6sa7883>4}Oko1vb:o50;3xLf`<ug=i6=4>{Iae?xh0k3:1=vFlf:m3a<728qCok5rn6g94?7|@jl0qc9i:182Mea3td3<7>51zJ`b>{i080;6<uGcg9~j=4=83;pDnh4}o:0>5<6sAim7p`74;295~Ndn2we484?:0yKgc=zf1<1<7?tHbd8yk>0290:wEmi;|l;<?6=9rBhj6sa8883>4}Oko1vb5o50;3xLf`<ug2i6=4>{Iae?xh?k3:1=vFlf:m<a<728qCok5rn9g94?7|@jl0qc6i:182Mea3td2<7>51zJ`b>{i180;6<uGcg9~j<4=83;pDnh4}o;0>5<6sAim7psr}AB@g0=18:?ioji}ABA5{GHYqvLM
42 changes: 42 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.sym
Original file line number Diff line number Diff line change
@@ -0,0 +1,42 @@
VERSION 5
BEGIN SYMBOL Binary_to_Decimal
SYMBOLTYPE BLOCK
TIMESTAMP 2012 12 10 8 47 17
SYMPIN 0 48 Input addra[6:0]
SYMPIN 0 240 Input clka
SYMPIN 0 272 Input addrb[6:0]
SYMPIN 0 464 Input clkb
SYMPIN 288 48 Output douta[7:0]
SYMPIN 288 272 Output doutb[7:0]
RECTANGLE N 32 0 256 496
BEGIN DISPLAY 36 48 PIN addra[6:0] ATTR PinName
FONT 24 "Arial"
END DISPLAY
BEGIN LINE W 0 48 32 48
END LINE
BEGIN DISPLAY 36 240 PIN clka ATTR PinName
FONT 24 "Arial"
END DISPLAY
LINE N 0 240 32 240
BEGIN DISPLAY 36 272 PIN addrb[6:0] ATTR PinName
FONT 24 "Arial"
END DISPLAY
BEGIN LINE W 0 272 32 272
END LINE
BEGIN DISPLAY 36 464 PIN clkb ATTR PinName
FONT 24 "Arial"
END DISPLAY
LINE N 0 464 32 464
BEGIN DISPLAY 252 48 PIN douta[7:0] ATTR PinName
ALIGNMENT RIGHT
FONT 24 "Arial"
END DISPLAY
BEGIN LINE W 256 48 288 48
END LINE
BEGIN DISPLAY 252 272 PIN doutb[7:0] ATTR PinName
ALIGNMENT RIGHT
FONT 24 "Arial"
END DISPLAY
BEGIN LINE W 256 272 288 272
END LINE
END SYMBOL
141 changes: 141 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,141 @@
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used *
* solely for design, simulation, implementation and creation of *
* design files limited to Xilinx devices or technologies. Use *
* with non-Xilinx devices or technologies is expressly prohibited *
* and immediately terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" *
* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR *
* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION *
* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION *
* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS *
* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, *
* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE *
* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY *
* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS *
* FOR A PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support *
* appliances, devices, or systems. Use in such applications are *
* expressly prohibited. *
* *
* (c) Copyright 1995-2007 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// The synthesis directives "translate_off/translate_on" specified below are
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).

// You must compile the wrapper file Binary_to_Decimal.v when simulating
// the core, Binary_to_Decimal. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".

`timescale 1ns/1ps

module Binary_to_Decimal(
addra,
addrb,
clka,
clkb,
douta,
doutb);


input [6 : 0] addra;
input [6 : 0] addrb;
input clka;
input clkb;
output [7 : 0] douta;
output [7 : 0] doutb;

// synthesis translate_off

BLKMEMDP_V6_3 #(
.c_addra_width(7),
.c_addrb_width(7),
.c_default_data("0"),
.c_depth_a(100),
.c_depth_b(100),
.c_enable_rlocs(0),
.c_has_default_data(0),
.c_has_dina(0),
.c_has_dinb(0),
.c_has_douta(1),
.c_has_doutb(1),
.c_has_ena(0),
.c_has_enb(0),
.c_has_limit_data_pitch(0),
.c_has_nda(0),
.c_has_ndb(0),
.c_has_rdya(0),
.c_has_rdyb(0),
.c_has_rfda(0),
.c_has_rfdb(0),
.c_has_sinita(0),
.c_has_sinitb(0),
.c_has_wea(0),
.c_has_web(0),
.c_limit_data_pitch(18),
.c_mem_init_file("Binary_to_Decimal.mif"),
.c_pipe_stages_a(0),
.c_pipe_stages_b(0),
.c_reg_inputsa(0),
.c_reg_inputsb(0),
.c_sim_collision_check("NONE"),
.c_sinita_value("0"),
.c_sinitb_value("0"),
.c_width_a(8),
.c_width_b(8),
.c_write_modea(0),
.c_write_modeb(0),
.c_ybottom_addr("0"),
.c_yclka_is_rising(1),
.c_yclkb_is_rising(1),
.c_yena_is_high(1),
.c_yenb_is_high(1),
.c_yhierarchy("hierarchy1"),
.c_ymake_bmm(0),
.c_yprimitive_type("16kx1"),
.c_ysinita_is_high(1),
.c_ysinitb_is_high(1),
.c_ytop_addr("1024"),
.c_yuse_single_primitive(0),
.c_ywea_is_high(1),
.c_yweb_is_high(1),
.c_yydisable_warnings(1))
inst (
.ADDRA(addra),
.ADDRB(addrb),
.CLKA(clka),
.CLKB(clkb),
.DOUTA(douta),
.DOUTB(doutb),
.DINA(),
.DINB(),
.ENA(),
.ENB(),
.NDA(),
.NDB(),
.RFDA(),
.RFDB(),
.RDYA(),
.RDYB(),
.SINITA(),
.SINITB(),
.WEA(),
.WEB());


// synthesis translate_on

// XST black box declaration
// box_type "black_box"
// synthesis attribute box_type of Binary_to_Decimal is "black_box"

endmodule

48 changes: 48 additions & 0 deletions UI/UI Interfaces/Binary to Decimal ROM/Binary_to_Decimal.veo
Original file line number Diff line number Diff line change
@@ -0,0 +1,48 @@
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used *
* solely for design, simulation, implementation and creation of *
* design files limited to Xilinx devices or technologies. Use *
* with non-Xilinx devices or technologies is expressly prohibited *
* and immediately terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" *
* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR *
* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION *
* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION *
* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS *
* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, *
* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE *
* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY *
* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS *
* FOR A PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support *
* appliances, devices, or systems. Use in such applications are *
* expressly prohibited. *
* *
* (c) Copyright 1995-2007 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// The following must be inserted into your Verilog file for this
// core to be instantiated. Change the instance name and port connections
// (in parentheses) to your own signal names.

//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
Binary_to_Decimal YourInstanceName (
.addra(addra), // Bus [6 : 0]
.addrb(addrb), // Bus [6 : 0]
.clka(clka),
.clkb(clkb),
.douta(douta), // Bus [7 : 0]
.doutb(doutb)); // Bus [7 : 0]

// INST_TAG_END ------ End INSTANTIATION Template ---------

// You must compile the wrapper file Binary_to_Decimal.v when simulating
// the core, Binary_to_Decimal. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".

Loading

0 comments on commit cf2106f

Please sign in to comment.