Skip to content

Commit

Permalink
merged master, #1829 [ci skip]
Browse files Browse the repository at this point in the history
  • Loading branch information
JayHuLBL committed Mar 24, 2020
2 parents 0ac7840 + f3fdce9 commit a03d583
Show file tree
Hide file tree
Showing 36 changed files with 2,649 additions and 89 deletions.
14 changes: 7 additions & 7 deletions Buildings/Controls/OBC/CDL/Continuous/Validation/Hysteresis.mo
Expand Up @@ -37,29 +37,29 @@ protected
"Block that outputs the product of a gain value with the input signal"
annotation (Placement(transformation(extent={{10,30},{30,50}})));

Sources.Pulse pul(
Buildings.Controls.OBC.CDL.Continuous.Sources.Pulse pul(
final amplitude=1,
final period=0.1)
"Pulse signal"
annotation (Placement(transformation(extent={{-40,-10},{-20,10}})));

Sources.Pulse pul1(
Buildings.Controls.OBC.CDL.Continuous.Sources.Pulse pul1(
final amplitude=1,
final period=0.1)
"Pulse signal"
annotation (Placement(transformation(extent={{-40,-50},{-20,-30}})));

equation
connect(ramp1.y, sin1.u)
annotation (Line(points={{-53,40},{-32,40}}, color={0,0,127}));
annotation (Line(points={{-52,40},{-32,40}}, color={0,0,127}));
connect(sin1.y, gain1.u)
annotation (Line(points={{-9,40},{8,40}}, color={0,0,127}));
annotation (Line(points={{-8,40},{8,40}}, color={0,0,127}));
connect(gain1.y, hysteresis.u)
annotation (Line(points={{31,40},{48,40}}, color={0,0,127}));
annotation (Line(points={{32,40},{48,40}}, color={0,0,127}));
connect(hysteresis2.u, pul1.y)
annotation (Line(points={{18,-40},{-19,-40}}, color={0,0,127}));
annotation (Line(points={{18,-40},{-18,-40}}, color={0,0,127}));
connect(pul.y, hysteresis1.u)
annotation (Line(points={{-19,0},{18,0}}, color={0,0,127}));
annotation (Line(points={{-18,0},{18,0}}, color={0,0,127}));
annotation (
experiment(StopTime=1.0, Tolerance=1e-06),
__Dymola_Commands(file="modelica://Buildings/Resources/Scripts/Dymola/Controls/OBC/CDL/Continuous/Validation/Hysteresis.mos"
Expand Down
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.

Large diffs are not rendered by default.

0 comments on commit a03d583

Please sign in to comment.