Skip to content

Commit

Permalink
Updating pythondata-cpu-minerva to 0.0.post190
Browse files Browse the repository at this point in the history
Updated data to v0.0-99-g0b5f6b2 based on 0b5f6b2 from https://github.com/lambdaconcept/minerva.
> commit 0b5f6b2
> Author: Jean-François Nguyen <jf@lambdaconcept.com>
> Date:   Fri Jan 22 15:20:35 2021 +0100
>
>     fetch: workaround YosysHQ/yosys#2035.
>

Updated using 0.0.post91 from https://github.com/litex-hub/litex-data-auto
  • Loading branch information
timvideos-robot committed Feb 15, 2021
1 parent 80ede63 commit 146b733
Showing 1 changed file with 6 additions and 6 deletions.
12 changes: 6 additions & 6 deletions pythondata_cpu_minerva/__init__.py
Expand Up @@ -4,11 +4,11 @@
src = "https://github.com/lambdaconcept/minerva"

# Module version
version_str = "0.0.post189"
version_tuple = (0, 0, 189)
version_str = "0.0.post190"
version_tuple = (0, 0, 190)
try:
from packaging.version import Version as V
pversion = V("0.0.post189")
pversion = V("0.0.post190")
except ImportError:
pass

Expand All @@ -32,11 +32,11 @@
"""

# Tool version info
tool_version_str = "0.0.post90"
tool_version_tuple = (0, 0, 90)
tool_version_str = "0.0.post91"
tool_version_tuple = (0, 0, 91)
try:
from packaging.version import Version as V
ptool_version = V("0.0.post90")
ptool_version = V("0.0.post91")
except ImportError:
pass

Expand Down

0 comments on commit 146b733

Please sign in to comment.