Skip to content

Commit

Permalink
Merge pull request f4pga#1886 from litghost/lower_vendor_tool_timeout
Browse files Browse the repository at this point in the history
Lower timeout to workaround Vivado 2019.2 hang.
  • Loading branch information
litghost committed Dec 16, 2020
2 parents 7183cb5 + fc24305 commit 59beae6
Show file tree
Hide file tree
Showing 23 changed files with 23 additions and 23 deletions.
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-docs/.github/kokoro/docs.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-ice40/.github/kokoro/ice40.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-install-200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-install-200t/.github/kokoro/install-200t.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-install.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-install/.github/kokoro/install.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-ql/.github/kokoro/ql.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-testarch/.github/kokoro/testarch.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-tests/.github/kokoro/tests.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-xc7-vendor/.github/kokoro/xc7-vendor.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-xc7/.github/kokoro/xc7.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-xc7a200t-vendor/.github/kokoro/xc7a200t-vendor.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/continuous-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-continuous-xc7a200t/.github/kokoro/xc7a200t.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/kokoro-cfg.py
Original file line number Diff line number Diff line change
Expand Up @@ -30,7 +30,7 @@
build_file: "symbiflow-arch-defs-%(kokoro_type)s-%(arch)s/.github/kokoro/%(arch)s.sh"
timeout_mins: 4320
timeout_mins: 1200
action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-docs/.github/kokoro/docs.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-ice40/.github/kokoro/ice40.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-install-200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-install-200t/.github/kokoro/install-200t.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-install.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-install/.github/kokoro/install.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-ql/.github/kokoro/ql.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-testarch/.github/kokoro/testarch.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-tests/.github/kokoro/tests.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-xc7-vendor/.github/kokoro/xc7-vendor.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-xc7/.github/kokoro/xc7.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-xc7a200t-vendor/.github/kokoro/xc7a200t-vendor.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down
2 changes: 1 addition & 1 deletion .github/kokoro/presubmit-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

build_file: "symbiflow-arch-defs-presubmit-xc7a200t/.github/kokoro/xc7a200t.sh"

timeout_mins: 4320
timeout_mins: 1200

action {
define_artifacts {
Expand Down

0 comments on commit 59beae6

Please sign in to comment.