Skip to content

Commit

Permalink
Merge pull request f4pga#1792 from antmicro/fd-techmap
Browse files Browse the repository at this point in the history
yosys: add retargeting step for FD primitives
  • Loading branch information
litghost committed Nov 20, 2020
2 parents ac53394 + 1b4c16a commit d5f8ce8
Show file tree
Hide file tree
Showing 2 changed files with 10 additions and 0 deletions.
7 changes: 7 additions & 0 deletions xc/xc7/techmap/retarget.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
module FD (output reg Q, input C, D);

parameter [0:0] INIT = 1'b0;

FDRE #(.INIT(INIT)) __TECHMAP_REPLACE__ (.Q(Q), .C(C), .D(D), .CE(1'b1), .R(1'b0));

endmodule
3 changes: 3 additions & 0 deletions xc/xc7/yosys/synth.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -25,6 +25,9 @@ if { $::env(USE_ROI) == "TRUE" } {
# Overwrite some models (e.g. IBUF with more parameters)
read_verilog -lib $::env(TECHMAP_PATH)/iobs.v

# Re-targetting FD to FDREs
techmap -map $::env(TECHMAP_PATH)/retarget.v

hierarchy -check -auto-top

# Start flow after library reading
Expand Down

0 comments on commit d5f8ce8

Please sign in to comment.