Skip to content

System verilog learning journey. Here in this repo you learn about how to write system verilog test bench using verilator tool a c++ test bench. Verilator is basically a 2 state tool .

Notifications You must be signed in to change notification settings

muhammadtalhasami/sv_verilator

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

16 Commits
 
 
 
 
 
 

Repository files navigation

INTRODUCTION

SystemVerilog is a hardware description and verification language used primarily in the design, verification, and implementation of digital systems, especially in the field of electronic design automation (EDA). It is an extension of the Verilog hardware description language (HDL) and encompasses additional features for design, verification, and testbench development.

WHY SYSTEM_VERILOG NOT VERILOG

Verilog was the primary language to verify the functionality of designs that were small not were complex and had a less features as the design complexity increases we need a better tool for the designs and verification. System verilog is far superior then the verilog because of it ability too perform the constrained random stimuli, use OOP feature in testbench

WHAT IS VERILATOR

Verilator is a tool that compiles Verilog and SystemVerilog sources to highly optimized (and optionally multithreaded) cycle-accurate C++ or SystemC code. The converted modules can be instantiated and used in a C++ or a SystemC testbench, for verification and/or modelling purposes.

WHY WE USE VERILATOR

Verilator is essentially a Verilog/SystemVerilog simulator. It’s commercial-grade, super fast, free and open source, but it is not a direct replacement for Modelsim, Questa Sim, Synopsys VCS, Vivado Xsim, and other event-based simulators. Verilator is a cycle-based simulator, which means it does not evaluate time within a single clock cycle, and does not simulate exact circuit timing. Instead, the circuit state is typically evaluated once per clock-cycle, so any intra-period glitches cannot be observed, and timed signal delays are not supported. This has both benefits and drawbacks when comparing Verilator to other simulators.

INSTALLATION PROCESS

sudo apt update

sudo apt install verilator

verilator --version

About

System verilog learning journey. Here in this repo you learn about how to write system verilog test bench using verilator tool a c++ test bench. Verilator is basically a 2 state tool .

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published