Skip to content

Commit

Permalink
Version 1.0dev
Browse files Browse the repository at this point in the history
  • Loading branch information
jandecaluwe committed Jul 18, 2015
1 parent c038d12 commit 9820fca
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 4 deletions.
4 changes: 2 additions & 2 deletions README.md
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
MyHDL 0.9.0
===========
MyHDL 1.0dev
============

[![Join the chat at https://gitter.im/jandecaluwe/myhdl](https://badges.gitter.im/Join%20Chat.svg)](https://gitter.im/jandecaluwe/myhdl?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge)

Expand Down
4 changes: 2 additions & 2 deletions myhdl/__init__.py
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
# This file is part of the myhdl library, a Python package for using
# Python as a Hardware Description Language.
#
# Copyright (C) 2003-2013 Jan Decaluwe
# Copyright (C) 2003-2015 Jan Decaluwe
#
# The myhdl library is free software; you can redistribute it and/or
# modify it under the terms of the GNU Lesser General Public License as
Expand Down Expand Up @@ -52,7 +52,7 @@
from __future__ import absolute_import
from __future__ import print_function

__version__ = "0.9.0"
__version__ = "1.0dev"

import sys
import warnings
Expand Down

0 comments on commit 9820fca

Please sign in to comment.