Skip to content

Commit

Permalink
Must be dead code, no impact on test suite
Browse files Browse the repository at this point in the history
  • Loading branch information
jandecaluwe committed Mar 2, 2016
1 parent 9711dde commit c661323
Showing 1 changed file with 0 additions and 6 deletions.
6 changes: 0 additions & 6 deletions myhdl/conversion/_toVHDL.py
Original file line number Diff line number Diff line change
Expand Up @@ -654,10 +654,6 @@ def visit_BinOp(self, node):
self.shiftOp(node)
elif isinstance(node.op, (ast.BitAnd, ast.BitOr, ast.BitXor)):
self.BitOp(node)
elif isinstance(node.op, ast.Mod) and (self.context == _context.PRINT):
self.visit(node.left)
self.write(", ")
self.visit(node.right)
else:
self.BinOp(node)

Expand Down Expand Up @@ -1362,9 +1358,7 @@ def visit_Print(self, node):
elif isinstance(a.vhdOri, vhd_enum):
a.vhd = vhd_string()
self.write("write(L, ")
self.context = _context.PRINT
self.visit(a)
self.context = None
if s.justified == 'LEFT':
self.write(", justified=>LEFT")
if s.width:
Expand Down

0 comments on commit c661323

Please sign in to comment.