Skip to content

Commit

Permalink
Merge pull request #97 from cfelton/vhdl_delay
Browse files Browse the repository at this point in the history
VHDL delay (wait) conversion fix
  • Loading branch information
jandecaluwe committed Jul 11, 2015
2 parents 7e3b071 + 67bc0b4 commit ebb7bcb
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion myhdl/conversion/_toVHDL.py
Original file line number Diff line number Diff line change
Expand Up @@ -1006,7 +1006,7 @@ def visit_Call(self, node):
self.write(f.__name__)
elif f is delay:
self.visit(node.args[0])
self.write(" ns")
self.write(" * 1 ns")
return
elif f is concat:
pre, suf = self.inferCast(node.vhd, node.vhdOri)
Expand Down

0 comments on commit ebb7bcb

Please sign in to comment.