Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

block decorator parameterization and simpler signal names when needed #328

Open
wants to merge 3 commits into
base: master
Choose a base branch
from

Conversation

jvillar
Copy link

@jvillar jvillar commented Jan 20, 2020

Since the introduction of the block decorator, all functions in the calltrace of a design, have to be decorated by it. This way, all these functions make a contribution to the name of its internal signals. This behaviour prevents name duplication and is the desired behaviour in most cases.

But there are times that intermediate functions don’t generate hardware generators nor any kind of myhdl objects, signals, etc… However they introduce a very high complexity in the converted code, that renders it unreadable. After some discussion at MyHDL discourse forum (https://discourse.myhdl.org/t/simplified-signal-naming-when-possible/403), I modified the MyHDL block decorator to accept conversion arguments so the conversion process can be parameterized. In this PR a new feature is introduced to make it possible to explicitely mute some hierarchy levels regarding to signal naming.

I think this can be a good starting point for parameterizing the conversion process.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

1 participant