Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

moved computedelaymatrix function to /utils/model_utils #235

Merged
merged 1 commit into from May 2, 2023

Conversation

lenasal
Copy link
Collaborator

@lenasal lenasal commented Apr 24, 2023

computeDelayMatrix is the same for aln, fhn, hopf, wc, ww model
moved function to model_utils.py to avoid code duplication

@codecov
Copy link

codecov bot commented Apr 24, 2023

Codecov Report

Patch coverage: 100.00% and project coverage change: -0.10 ⚠️

Comparison is base (a66836f) 93.54% compared to head (03da530) 93.43%.

Additional details and impacted files
@@            Coverage Diff             @@
##           master     #235      +/-   ##
==========================================
- Coverage   93.54%   93.43%   -0.10%     
==========================================
  Files          51       51              
  Lines        4425     4401      -24     
==========================================
- Hits         4139     4112      -27     
- Misses        286      289       +3     
Flag Coverage Δ
unittests 93.43% <100.00%> (-0.10%) ⬇️

Flags with carried forward coverage won't be shown. Click here to find out more.

Impacted Files Coverage Δ
neurolib/models/aln/loadDefaultParams.py 100.00% <ø> (ø)
neurolib/models/fhn/loadDefaultParams.py 100.00% <ø> (ø)
neurolib/models/hopf/loadDefaultParams.py 100.00% <ø> (ø)
neurolib/models/wc/loadDefaultParams.py 100.00% <ø> (ø)
neurolib/models/ww/loadDefaultParams.py 100.00% <ø> (ø)
neurolib/utils/model_utils.py 95.65% <100.00%> (+1.53%) ⬆️

... and 1 file with indirect coverage changes

Help us with your feedback. Take ten seconds to tell us how you rate us. Have a feature suggestion? Share it here.

☔ View full report in Codecov by Sentry.
📢 Do you have feedback about the report comment? Let us know in this issue.

@caglorithm
Copy link
Member

Nice refactor, LGTM

@caglorithm caglorithm self-requested a review May 2, 2023 09:17
@caglorithm caglorithm requested a review from jajcayn May 2, 2023 09:17
Copy link
Collaborator

@jajcayn jajcayn left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

LGTM:)

@caglorithm caglorithm merged commit 6771599 into neurolib-dev:master May 2, 2023
6 of 7 checks passed
@lenasal lenasal deleted the move_computedelaymatrix branch May 2, 2023 13:05
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants