-
-
Notifications
You must be signed in to change notification settings - Fork 77
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Fix default binding library search. Issue #154
- Loading branch information
Showing
4 changed files
with
88 additions
and
3 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,30 @@ | ||
entity sub is | ||
port ( x : out integer ); | ||
end entity; | ||
|
||
architecture test of sub is | ||
begin | ||
x <= 4; | ||
end architecture; | ||
|
||
------------------------------------------------------------------------------- | ||
|
||
library other; | ||
|
||
entity top is | ||
end entity; | ||
|
||
architecture test of top is | ||
|
||
component sub is | ||
port ( x : out integer ); | ||
end component; | ||
|
||
signal x : integer; | ||
|
||
begin | ||
|
||
sub_i: component sub | ||
port map ( x => x ); | ||
|
||
end architecture; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,33 @@ | ||
library ieee; | ||
use ieee.std_logic_1164.all; | ||
|
||
library unisim; | ||
use unisim.vcomponents.all; | ||
|
||
entity bufr_test is | ||
end entity; | ||
|
||
architecture test of bufr_test is | ||
component BUFR | ||
generic ( BUFR_DIVIDE : string := "BYPASS"; | ||
SIM_DEVICE : string := "7SERIES"); | ||
port ( O : out STD_LOGIC; | ||
CE : in STD_LOGIC; | ||
CLR : in STD_LOGIC; | ||
I : in STD_LOGIC); | ||
end component; | ||
attribute BOX_TYPE of BUFR : component is "PRIMITIVE"; | ||
|
||
signal amu_adc_dco_i : std_logic; | ||
signal amu_adc_dco : std_logic; | ||
begin | ||
|
||
BUF_DATA_CLK : BUFR | ||
generic map ( BUFR_DIVIDE => "BYPASS", | ||
SIM_DEVICE => "7SERIES") | ||
port map ( O => amu_adc_dco, | ||
CE => '1', | ||
CLR => '0', | ||
I => amu_adc_dco_i); | ||
|
||
end architecture; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters