Skip to content

Commit

Permalink
intergration WIP. Sooo sleeeeeppy
Browse files Browse the repository at this point in the history
  • Loading branch information
unknown committed Oct 23, 2013
1 parent 67796be commit 873f872
Show file tree
Hide file tree
Showing 24 changed files with 515 additions and 108 deletions.
45 changes: 32 additions & 13 deletions LAB2.gise
Expand Up @@ -88,11 +88,15 @@
<file xil_pn:fileType="FILE_XST" xil_pn:name="control_unit.xst"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="control_unit_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="control_unit_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="decode_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="div32.prj"/>
<file xil_pn:fileType="FILE_XST_STX" xil_pn:name="div32.stx"/>
<file xil_pn:fileType="FILE_XST" xil_pn:name="div32.xst"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="div32_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="div32_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="fetch.prj"/>
<file xil_pn:fileType="FILE_XST_STX" xil_pn:name="fetch.stx"/>
<file xil_pn:fileType="FILE_XST" xil_pn:name="fetch.xst"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="fetch_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="fetch_stx_beh.prj"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="fuse.log"/>
Expand Down Expand Up @@ -133,11 +137,11 @@
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_controlunit_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="test_controlunit_isim_beh.wdb"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_controlunit_stx_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_decode_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_decode_stx_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_div32_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_div32_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_fetch_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_fetch_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="test_fetch_isim_beh.wdb"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_fetch_stx_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_mul32_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_mul32_stx_beh.prj"/>
Expand Down Expand Up @@ -173,17 +177,20 @@
</files>

<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1382494398" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1382494398">
<transform xil_pn:end_ts="1382555284" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1382555284">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1382554545" xil_pn:in_ck="8848139264525811474" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1382554545">
<transform xil_pn:end_ts="1382563930" xil_pn:in_ck="2667397931745758681" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1382563930">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputAdded"/>
<outfile xil_pn:name="adder32.vhd"/>
<outfile xil_pn:name="addsub32.vhd"/>
<outfile xil_pn:name="alu.vhd"/>
<outfile xil_pn:name="control_unit.vhd"/>
<outfile xil_pn:name="decode.vhd"/>
<outfile xil_pn:name="div32.vhd"/>
<outfile xil_pn:name="fetch.vhd"/>
<outfile xil_pn:name="mul32.vhd"/>
Expand All @@ -194,6 +201,7 @@
<outfile xil_pn:name="test_adder32.vhd"/>
<outfile xil_pn:name="test_addsub32.vhd"/>
<outfile xil_pn:name="test_control_unit.vhd"/>
<outfile xil_pn:name="test_decode.vhd"/>
<outfile xil_pn:name="test_div32.vhd"/>
<outfile xil_pn:name="test_fetch.vhd"/>
<outfile xil_pn:name="test_mul32.vhd"/>
Expand All @@ -206,25 +214,27 @@
<outfile xil_pn:name="umul32.vhd"/>
<outfile xil_pn:name="utils.vhd"/>
</transform>
<transform xil_pn:end_ts="1382554546" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-487422038826284033" xil_pn:start_ts="1382554546">
<transform xil_pn:end_ts="1382563932" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-7949701215185209483" xil_pn:start_ts="1382563932">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1382554546" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="3443369064828128167" xil_pn:start_ts="1382554546">
<transform xil_pn:end_ts="1382563932" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="6326595026919095773" xil_pn:start_ts="1382563932">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1382095065" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="-109706848549088652" xil_pn:start_ts="1382095065">
<transform xil_pn:end_ts="1382555284" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="-109706848549088652" xil_pn:start_ts="1382555284">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1382554546" xil_pn:in_ck="8848139264525811474" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1382554546">
<transform xil_pn:end_ts="1382563932" xil_pn:in_ck="2667397931745758681" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1382563932">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="adder32.vhd"/>
<outfile xil_pn:name="addsub32.vhd"/>
<outfile xil_pn:name="alu.vhd"/>
<outfile xil_pn:name="control_unit.vhd"/>
<outfile xil_pn:name="decode.vhd"/>
<outfile xil_pn:name="div32.vhd"/>
<outfile xil_pn:name="fetch.vhd"/>
<outfile xil_pn:name="mul32.vhd"/>
Expand All @@ -235,6 +245,7 @@
<outfile xil_pn:name="test_adder32.vhd"/>
<outfile xil_pn:name="test_addsub32.vhd"/>
<outfile xil_pn:name="test_control_unit.vhd"/>
<outfile xil_pn:name="test_decode.vhd"/>
<outfile xil_pn:name="test_div32.vhd"/>
<outfile xil_pn:name="test_fetch.vhd"/>
<outfile xil_pn:name="test_mul32.vhd"/>
Expand All @@ -247,22 +258,30 @@
<outfile xil_pn:name="umul32.vhd"/>
<outfile xil_pn:name="utils.vhd"/>
</transform>
<transform xil_pn:end_ts="1382554550" xil_pn:in_ck="8848139264525811474" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="5064392696431708796" xil_pn:start_ts="1382554546">
<transform xil_pn:end_ts="1382563936" xil_pn:in_ck="2667397931745758681" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="-4265329061736388248" xil_pn:start_ts="1382563932">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForProperties"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="fuse.log"/>
<outfile xil_pn:name="isim"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="test_fetch_beh.prj"/>
<outfile xil_pn:name="test_fetch_isim_beh.exe"/>
<outfile xil_pn:name="ram_test_beh.prj"/>
<outfile xil_pn:name="ram_test_isim_beh.exe"/>
<outfile xil_pn:name="xilinxsim.ini"/>
</transform>
<transform xil_pn:end_ts="1382554550" xil_pn:in_ck="2212572051756273132" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-901321655778957924" xil_pn:start_ts="1382554550">
<transform xil_pn:end_ts="1382563936" xil_pn:in_ck="-6537949868214796194" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-5084500789005291128" xil_pn:start_ts="1382563936">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForProperties"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="isim.cmd"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="test_fetch_isim_beh.wdb"/>
<outfile xil_pn:name="ram_test_isim_beh.wdb"/>
</transform>
<transform xil_pn:end_ts="1381431527" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1381431527">
<status xil_pn:value="SuccessfullyRun"/>
Expand Down
52 changes: 31 additions & 21 deletions LAB2.xise
Expand Up @@ -17,7 +17,7 @@
<files>
<file xil_pn:name="alu.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="LAB2.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
Expand All @@ -30,11 +30,11 @@
</file>
<file xil_pn:name="adder32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="uadder32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="test_uadder32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand All @@ -50,7 +50,7 @@
</file>
<file xil_pn:name="uaddsub32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="test_uaddsub32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand All @@ -60,7 +60,7 @@
</file>
<file xil_pn:name="addsub32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="test_addsub32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand All @@ -74,7 +74,7 @@
</file>
<file xil_pn:name="mul32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="test_umul32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand Down Expand Up @@ -102,11 +102,11 @@
</file>
<file xil_pn:name="div32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="rom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="rom_test.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand All @@ -115,22 +115,22 @@
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="135"/>
</file>
<file xil_pn:name="ram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="utils.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="ram_test.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="157"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="157"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="157"/>
</file>
<file xil_pn:name="control_unit.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="11"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="test_control_unit.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
Expand All @@ -139,15 +139,25 @@
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="159"/>
</file>
<file xil_pn:name="fetch.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="169"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="test_fetch.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="173"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="173"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="173"/>
</file>
<file xil_pn:name="decode.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="209"/>
</file>
<file xil_pn:name="test_decode.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="211"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="211"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="211"/>
</file>
</files>

<properties>
Expand Down Expand Up @@ -374,8 +384,8 @@
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/test_fetch" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.test_fetch" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/test_control_unit/uut" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.control_unit" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
Expand All @@ -391,7 +401,7 @@
<property xil_pn:name="Slice Packing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.test_fetch" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.control_unit" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
Expand Down Expand Up @@ -441,7 +451,7 @@
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|test_fetch|behavior" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|ram_test|behavior" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="LAB2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3a" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
Expand Down
11 changes: 10 additions & 1 deletion _xmsgs/pn_parser.xmsgs
Expand Up @@ -8,7 +8,16 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->

<messages>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;C:/Users/Hunar Khanna/Desktop/CG3207/VHDL/lab2/cg3207-project/fetch.vhd&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;C:/Users/Hunar Khanna/Desktop/CG3207/VHDL/lab2/cg3207-project/cpu.vhd&quot; into library work</arg>
</msg>

<msg type="error" file="ProjectMgmt" num="806" >&quot;<arg fmt="%s" index="1">C:/Users/Hunar Khanna/Desktop/CG3207/VHDL/lab2/cg3207-project/cpu.vhd</arg>&quot; Line <arg fmt="%d" index="2">101</arg>. <arg fmt="%s" index="3">Syntax error near &quot;in&quot;.</arg>
</msg>

<msg type="error" file="ProjectMgmt" num="806" >&quot;<arg fmt="%s" index="1">C:/Users/Hunar Khanna/Desktop/CG3207/VHDL/lab2/cg3207-project/cpu.vhd</arg>&quot; Line <arg fmt="%d" index="2">123</arg>. <arg fmt="%s" index="3">Syntax error near &quot;ControlSignals&quot;.</arg>
</msg>

<msg type="error" file="ProjectMgmt" num="806" >&quot;<arg fmt="%s" index="1">C:/Users/Hunar Khanna/Desktop/CG3207/VHDL/lab2/cg3207-project/cpu.vhd</arg>&quot; Line <arg fmt="%d" index="2">127</arg>. <arg fmt="%s" index="3">Syntax error near &quot;end&quot;.</arg>
</msg>

</messages>
Expand Down
8 changes: 5 additions & 3 deletions asm/test1.asm
Expand Up @@ -2,6 +2,8 @@
val: .word 10,7,5

.text
li $t1,10
li $t2,15
add $t3, $t2, $t1
li $t1,0x10010000
lw $t2,1($t1)
add $t3, $t2, $t1
sub $t3, $t2, $t1

2 changes: 2 additions & 0 deletions asm/test2.hex
@@ -0,0 +1,2 @@
8d490064
8d2b000e
2 changes: 2 additions & 0 deletions asm/zero.hex
@@ -0,0 +1,2 @@
8d480064
8d2b000e

0 comments on commit 873f872

Please sign in to comment.