Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Adding de1 soc rev f #46

Closed
wants to merge 2 commits into from
Closed
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
6 changes: 6 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -144,6 +144,12 @@ FPGA Pin D11 (Connector JP1, pin 38) is used for UART output with 57600 baud rat

fusesoc run --target=de0_nano servant

### DE1 SoC revF

FPGA PIN_AC18 (Connector GPIO0, pin 0) is used for UART output with 57600 baud rate. DE1 SoC revF needs an external 3.3V UART to connect to this pin. The UART pin has not been tested.

fusesoc run --target=de1_soc_revF servant

### DECA development kit

FPGA Pin W18 (Pin 3 P8 connector) is used for UART output with 57600 baud rate. Key 0 is reset and Led 0 q output.
Expand Down
8 changes: 8 additions & 0 deletions data/de1_soc_revF.sdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
# Main system clock (50 Mhz)
create_clock -name "clk" -period 20.000ns [get_ports {i_clk}]

# Automatically constrain PLL and other generated clocks
derive_pll_clocks -create_base_clocks

# Automatically calculate clock uncertainty to jitter and other effects.
derive_clock_uncertainty
11 changes: 11 additions & 0 deletions data/de1_soc_revF.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
set_location_assignment PIN_AF14 -to i_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i_clk

set_location_assignment PIN_AA14 -to i_rst_n
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i_rst_n

set_location_assignment PIN_V16 -to q
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to q

set_location_assignment PIN_AC18 -to uart_txd
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to uart*
18 changes: 18 additions & 0 deletions servant.core
Original file line number Diff line number Diff line change
Expand Up @@ -69,6 +69,13 @@ filesets:
- servant/servive_clock_gen.v : {file_type : verilogSource}
- servant/servive.v : {file_type : verilogSource}

de1_soc_revF:
files:
- data/de1_soc_revF.sdc : {file_type : SDC}
- data/de1_soc_revF.tcl : {file_type : tclSource}
- servant/servde1_soc_revF_clock_gen.v : {file_type : verilogSource}
- servant/servde1_soc_revF.v : {file_type : verilogSource}

tinyfpga_bx: {files: [data/tinyfpga_bx.pcf : {file_type : PCF}]}
icebreaker : {files: [data/icebreaker.pcf : {file_type : PCF}]}
icesugar : {files: [data/icesugar.pcf : {file_type : PCF}]}
Expand Down Expand Up @@ -181,6 +188,17 @@ targets:
device : EP4CE22F17C6
toplevel: servive

de1_soc_revF:
default_tool : quartus
filesets : [mem_files, soc, de1_soc_revF]
parameters : [memfile, memsize]
tools:
quartus:
family : Cyclone V
device : 5CSEMA5F31C6
board_device_index : 2
toplevel: servde1_soc_revF

icebreaker:
default_tool : icestorm
filesets : [mem_files, soc, service, icebreaker]
Expand Down
31 changes: 31 additions & 0 deletions servant/servde1_soc_revF.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,31 @@
`default_nettype none
module servde1_soc_revF
(
input wire i_clk,
input wire i_rst_n,
output wire q,
output wire uart_txd);

parameter memfile = "zephyr_hello.hex";
parameter memsize = 8192;

wire wb_clk;
wire wb_rst;

assign uart_txd = q;

servde1_soc_revF_clock_gen clock_gen
(.i_clk (i_clk),
.i_rst (!i_rst_n),
.o_clk (wb_clk),
.o_rst (wb_rst));

servant
#(.memfile (memfile),
.memsize (memsize))
servant
(.wb_clk (wb_clk),
.wb_rst (wb_rst),
.q (q));

endmodule
34 changes: 34 additions & 0 deletions servant/servde1_soc_revF_clock_gen.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,34 @@
`default_nettype none
module servde1_soc_revF_clock_gen
(input wire i_clk,
input wire i_rst,
output wire o_clk,
output wire o_rst);

wire locked;
reg [9:0] r;

assign o_rst = r[9];

always @(posedge o_clk)
if (locked)
r <= {r[8:0],1'b0};
else
r <= 10'b1111111111;

wire [5:0] clk;

assign o_clk = clk[0];

altpll
#(.operation_mode ("NORMAL"),
.clk0_divide_by (25),
.clk0_multiply_by (8),
.inclk0_input_frequency (20000))
pll
(.areset (i_rst),
.inclk ({1'b0, i_clk}),
.clk (clk),
.locked (locked));

endmodule