Skip to content

Commit

Permalink
Merge pull request #495 from silabs-oysteink/silabs-oysteink_pc-harde…
Browse files Browse the repository at this point in the history
…ning-todo

Removed todo about stretching PC checks
  • Loading branch information
Silabs-ArjanB committed Sep 8, 2023
2 parents 6feea13 + 80dc6c9 commit b07d6bc
Showing 1 changed file with 0 additions and 1 deletion.
1 change: 0 additions & 1 deletion rtl/cv32e40s_pc_check.sv
Expand Up @@ -204,7 +204,6 @@ always_ff @(posedge clk, negedge rst_n) begin
// Exclude cases of PC_WB_PLUS4, PC_TRAP_IRQ and CLIC pointers as the pipeline currently has no easy way to recompute these targets.
// Pointers (if_id_pipe.ptr) should already be hardened by parity checks.
// Used for the address comparison
// Todo: may stretch this until the target instruction leaves IF stage
if (enable) begin
pc_set_q <= ctrl_fsm_i.pc_set && !((ctrl_fsm_i.pc_mux == PC_WB_PLUS4) || (ctrl_fsm_i.pc_mux == PC_TRAP_IRQ) ||
(ctrl_fsm_i.pc_mux == PC_TRAP_CLICV) ||
Expand Down

0 comments on commit b07d6bc

Please sign in to comment.