Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Merge from cv32e40x #454

Merged
merged 31 commits into from May 16, 2023

Commits on May 4, 2023

  1. Signal rename and comment clean-up

    Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
    Silabs-ArjanB committed May 4, 2023
    Configuration menu
    Copy the full SHA
    980b1ed View commit details
    Browse the repository at this point in the history
  2. Merge pull request #846 from Silabs-ArjanB/ArjanB_nos

    Signal rename and comment clean-up
    silabs-oysteink committed May 4, 2023
    Configuration menu
    Copy the full SHA
    c2c6148 View commit details
    Browse the repository at this point in the history
  3. Signal rename

    Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
    Silabs-ArjanB committed May 4, 2023
    Configuration menu
    Copy the full SHA
    9acc3b9 View commit details
    Browse the repository at this point in the history
  4. Configuration menu
    Copy the full SHA
    d0cada9 View commit details
    Browse the repository at this point in the history

Commits on May 8, 2023

  1. Optimized ALU to not include ZBS-specific logic if ZBS is not configu…

    …red.
    
    SEC clean with B_NONE, ZBA_ZBB, ZBA_ZBB_ZBS and ZBA_ZBB_ZBC_ZBS
    
    Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
    silabs-oysteink committed May 8, 2023
    Configuration menu
    Copy the full SHA
    4d54689 View commit details
    Browse the repository at this point in the history
  2. Configuration menu
    Copy the full SHA
    62bd94d View commit details
    Browse the repository at this point in the history

Commits on May 9, 2023

  1. Misc syntax fixes, strengthened assertion and removed unused signals

    Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
    Silabs-ArjanB committed May 9, 2023
    Configuration menu
    Copy the full SHA
    cc30c92 View commit details
    Browse the repository at this point in the history
  2. Dead code removal

    Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
    Silabs-ArjanB committed May 9, 2023
    Configuration menu
    Copy the full SHA
    b1749e4 View commit details
    Browse the repository at this point in the history
  3. Add workaround to avoid multiplication by 3, since multipliers might …

    …be blackboxed in formal runs.
    
    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 9, 2023
    Configuration menu
    Copy the full SHA
    81f092d View commit details
    Browse the repository at this point in the history
  4. Add assertions to verify data bus vs RVFI consistency. Github issue #648

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 9, 2023
    Configuration menu
    Copy the full SHA
    b849665 View commit details
    Browse the repository at this point in the history
  5. Configuration menu
    Copy the full SHA
    c323c2f View commit details
    Browse the repository at this point in the history

Commits on May 11, 2023

  1. Merge pull request #849 from Silabs-ArjanB/ArjanB_misc0

    Misc syntax fixes, strengthened assertion and removed unused signals
    silabs-oysteink committed May 11, 2023
    Configuration menu
    Copy the full SHA
    8a05b4b View commit details
    Browse the repository at this point in the history
  2. Remove unreachable code generating write strobe for read-only CSR min…

    …tstatus
    
    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 11, 2023
    Configuration menu
    Copy the full SHA
    8bca803 View commit details
    Browse the repository at this point in the history
  3. Add async reset for trans_priv_lvl_q

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 11, 2023
    Configuration menu
    Copy the full SHA
    ae7afbc View commit details
    Browse the repository at this point in the history
  4. Change reset value of trans_q.we in write buffer to 1.

    This will result in a static value of 1, which should allow the bit to be optimized during synth.
    
    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 11, 2023
    Configuration menu
    Copy the full SHA
    6eb80be View commit details
    Browse the repository at this point in the history
  5. Configuration menu
    Copy the full SHA
    c44d3a0 View commit details
    Browse the repository at this point in the history
  6. Configuration menu
    Copy the full SHA
    7c33eda View commit details
    Browse the repository at this point in the history
  7. Merge pull request #853 from silabs-krdosvik/master

    Update jvt settings csr.yaml.m4
    Silabs-ArjanB committed May 11, 2023
    Configuration menu
    Copy the full SHA
    8b37f97 View commit details
    Browse the repository at this point in the history

Commits on May 12, 2023

  1. Disable write checks on rvfi_mem if the grant delay is too large

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 12, 2023
    Configuration menu
    Copy the full SHA
    2cbf608 View commit details
    Browse the repository at this point in the history

Commits on May 15, 2023

  1. Merge pull request #851 from silabs-oivind/issue_648

    Add assertions for data bus vs RVFI consistency
    Silabs-ArjanB committed May 15, 2023
    Configuration menu
    Copy the full SHA
    78fb850 View commit details
    Browse the repository at this point in the history
  2. Add cv32e40x_ prefix to prevent conflicts with cv32e40s

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 15, 2023
    Configuration menu
    Copy the full SHA
    2974ce2 View commit details
    Browse the repository at this point in the history
  3. Replace if_c_obi -> cv32e40x_if_c_obi in all files

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 15, 2023
    Configuration menu
    Copy the full SHA
    8441a7a View commit details
    Browse the repository at this point in the history
  4. Fix Typo - "minsttatus"

    silabs-robin committed May 15, 2023
    Configuration menu
    Copy the full SHA
    451e1fc View commit details
    Browse the repository at this point in the history
  5. Replace if_xif -> cv32e40x_if_xif in all files

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 15, 2023
    Configuration menu
    Copy the full SHA
    2ea39fc View commit details
    Browse the repository at this point in the history
  6. Replace rvfi_sim_trace -> cv32e40x_rvfi_sim_trace in all files

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 15, 2023
    Configuration menu
    Copy the full SHA
    cb26b5c View commit details
    Browse the repository at this point in the history
  7. Merge pull request #854 from silabs-robin/patch-1

    Fix Typo - "minsttatus"
    Silabs-ArjanB committed May 15, 2023
    Configuration menu
    Copy the full SHA
    3676cfa View commit details
    Browse the repository at this point in the history
  8. Configuration menu
    Copy the full SHA
    c0b7c98 View commit details
    Browse the repository at this point in the history
  9. Merge remote-tracking branch 'remotes/openhw_e40x/master' into merge_…

    …from_cv32e40x
    
    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 15, 2023
    Configuration menu
    Copy the full SHA
    3b6b20c View commit details
    Browse the repository at this point in the history

Commits on May 16, 2023

  1. Uniquify rvfi_sim_trace and if_c_obi with cv32e40s_ prefix

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 16, 2023
    Configuration menu
    Copy the full SHA
    6a9ec05 View commit details
    Browse the repository at this point in the history
  2. Rename if_c_obi -> cv32e40s_if_c_obi and rvfi_sim_trace -> cv32e40s_r…

    …vfi_sim_trace in all files
    
    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 16, 2023
    Configuration menu
    Copy the full SHA
    8145f53 View commit details
    Browse the repository at this point in the history
  3. Fix issues after merge

    Signed-off-by: Oivind Ekelund <oivind.ekelund@silabs.com>
    silabs-oivind committed May 16, 2023
    Configuration menu
    Copy the full SHA
    fbbbc57 View commit details
    Browse the repository at this point in the history