Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Merge from CV32E40X #485

Merged

Conversation

silabs-oysteink
Copy link
Contributor

SEC clean (with multiplier operation temporarily converted to an add)

silabs-oysteink and others added 25 commits August 8, 2023 14:36
… EX stage.

Routing halt_ex and kill_ex into the multiplier and divider, making sure no state updates occur while halted and that the modules properly drive valid/ready according to halt/kill.

SEC clean (multiplier operation was converted to addition to be able to complete SEC run)

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
SEC clean.

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
…fter/in parallell with the case. Clock gating flipflops when halted or when there are no valid inputs.

SEC clean (with multiplier operation modified to addition)

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
…eink/cv32e40x into silabs-oysteink-div-mul-todo
Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
Updated RISC-V debug spec to version of June 23 2023
Added statement that wu_wfe_i is positive level sensitive
Signed-off-by: Arjan Bink <Arjan.Bink@silabs.com>
Updated RISC-V CLIC version to 8/1/2023 version
Explicit build.os is now required by RTD.
Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
Checked with a temporary assertion for correctness.

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
…ceptions and Interrupts" chapter in the user manual.

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
…todo

Fixed todo's related to mul_en/div_en i EX stage
…k_merge-w34-1

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
@silabs-oysteink silabs-oysteink added Component:Doc For issues in the Documentation (e.g. for User Manual, README.md files) Component:RTL For issues in the RTL (e.g. for files in the rtl directory) labels Aug 28, 2023
The external CLIC module prioritizes all interrupts and presents |corev| with the highest priority pending and enabled interrupt.
|corev| will then prioritize the interrupts including NMI as follows:

* ``store bus fault NMI (1025)``
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Add codes 1026, 1027

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Added codes.

Signed-off-by: Oystein Knauserud <Oystein.Knauserud@silabs.com>
@Silabs-ArjanB Silabs-ArjanB removed the Component:Doc For issues in the Documentation (e.g. for User Manual, README.md files) label Aug 28, 2023
@Silabs-ArjanB Silabs-ArjanB merged commit c354433 into openhwgroup:master Aug 28, 2023
1 check passed
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Component:RTL For issues in the RTL (e.g. for files in the rtl directory)
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants