Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Removed two todo's in the sequencer. #908

Merged
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
2 changes: 0 additions & 2 deletions rtl/cv32e40x_sequencer.sv
Expand Up @@ -218,7 +218,6 @@ module cv32e40x_sequencer import cv32e40x_pkg::*;
// In principle this is the same as "seq_en && valid_i"
// as the output of the above decode logic is equivalent to seq_en
// We have valid outputs for any correctly decoded instruction, or when we are handling a tablejump pointer.
// todo: halting IF stage would imply !valid, can this be an issue?
assign valid_o = ((seq_instr != INVALID_INST) || instr_is_tbljmp_ptr_i) && valid_i && !halt_i && !kill_i;


Expand Down Expand Up @@ -328,7 +327,6 @@ module cv32e40x_sequencer import cv32e40x_pkg::*;
end

end
// todo: Any instruction output while not in S_IDLE should not combinatorially depend on instr_rdata_i
S_PUSH: begin
seq_first_fsm = 1'b0;
// sw rs2, current_stack_adj(sp)
Expand Down