Skip to content

Commit

Permalink
[rtl] Remove unused transition in ibex_controller FSM (#98)
Browse files Browse the repository at this point in the history
When in the FLUSH state we cannot have `csr_pipe_flush` set as it
depends upon `instr_executing` being set (within `ibex_id_stage`) and
that is only set in the DECODE stage.

Co-authored-by: Greg Chadwick <gac@lowrisc.org>
  • Loading branch information
christian-herber-nxp and GregAC committed Mar 3, 2023
1 parent 91eb856 commit f6268d4
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 4 deletions.
1 change: 0 additions & 1 deletion doc/03_reference/coverage_plan.rst
Original file line number Diff line number Diff line change
Expand Up @@ -185,7 +185,6 @@ Each pipeline stage has some associated state.
* ``FLUSH`` -> ``DECODE`` *
* ``FLUSH`` -> ``DBG_TAKEN_ID``
* ``FLUSH`` -> ``WAIT_SLEEP``
* ``FLUSH`` -> ``IRQ_TAKEN`` *
* ``FLUSH`` -> ``DBG_TAKEN_IF`` *
* ``WAIT_SLEEP`` -> ``SLEEP``
* ``SLEEP`` -> ``FIRST_FETCH``
Expand Down
3 changes: 0 additions & 3 deletions rtl/cve2_controller.sv
Original file line number Diff line number Diff line change
Expand Up @@ -738,9 +738,6 @@ module cve2_controller #(
csr_restore_dret_id_o = 1'b1;
end else if (wfi_insn) begin
ctrl_fsm_ns = WAIT_SLEEP;
end else if (csr_pipe_flush && handle_irq) begin
// start handling IRQs when doing CSR-related pipeline flushes
ctrl_fsm_ns = IRQ_TAKEN;
end
end // exc_req_q

Expand Down

0 comments on commit f6268d4

Please sign in to comment.