Skip to content

Commit

Permalink
Fix python linter
Browse files Browse the repository at this point in the history
  • Loading branch information
cecille committed Jun 9, 2023
1 parent 2617016 commit 8f70ed6
Showing 1 changed file with 0 additions and 3 deletions.
3 changes: 0 additions & 3 deletions src/python_testing/TC_ACE_1_2.py
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,6 @@
import queue

import chip.clusters as Clusters
from chip import ChipDeviceCtrl
from chip.clusters import ClusterObjects as ClustersObjects
from chip.clusters.Attribute import SubscriptionTransaction, TypedAttributePath, EventReadResult
from chip.exceptions import ChipStackError
Expand Down Expand Up @@ -157,8 +156,6 @@ async def test_TC_ACE_1_2(self):
ace_queue = queue.Queue()
ace_cb = EventChangeCallback(Clusters.AccessControl.Events.AccessControlEntryChanged, ace_queue)
subscription_ace.SetEventUpdateCallback(ace_cb)
resubscription_cb = ResubscriptionCallback()
subscription_ace.SetResubscriptionAttemptedCallback(callback=resubscription_cb, isAsync=False)

self.print_step(6, "TH1 writes ACL attribute")
acl = Clusters.AccessControl.Structs.AccessControlEntryStruct(
Expand Down

0 comments on commit 8f70ed6

Please sign in to comment.