Skip to content

Commit

Permalink
Final changes
Browse files Browse the repository at this point in the history
  • Loading branch information
rogerbarton committed Apr 8, 2024
1 parent 8ba104d commit e93e351
Show file tree
Hide file tree
Showing 9 changed files with 583 additions and 25 deletions.
3 changes: 2 additions & 1 deletion .gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -18,4 +18,5 @@ gmon.out
site/
work.lib++/
target/sim/gemm_results.csv
logs*/
logs*/
bench_*/
141 changes: 141 additions & 0 deletions Bender.lock.backup
Original file line number Diff line number Diff line change
@@ -0,0 +1,141 @@
packages:
apb:
revision: 77ddf073f194d44b9119949d2421be59789e69ae
version: 0.2.4
source:
Git: https://github.com/pulp-platform/apb.git
dependencies:
- common_cells
apb_timer:
revision: 0cbc6cbc26c94b8e3bf27cc058c48ef89ea3d4c3
version: 0.1.0
source:
Git: https://github.com/pulp-platform/apb_timer.git
dependencies: []
apb_uart:
revision: b6145341df79137ac584c83e9c081f80a7a40440
version: null
source:
Git: https://github.com/pulp-platform/apb_uart.git
dependencies: []
axi:
revision: fccffb5953ec8564218ba05e20adbedec845e014
version: 0.39.1
source:
Git: https://github.com/pulp-platform/axi.git
dependencies:
- common_cells
- common_verification
- tech_cells_generic
axi_riscv_atomics:
revision: 430838a10a9bdf1e381d4fcb33907428f3273420
version: 0.6.0
source:
Git: https://github.com/pulp-platform/axi_riscv_atomics
dependencies:
- axi
- common_cells
- common_verification
axi_tlb:
revision: null
version: null
source:
Path: hw/vendor/pulp_platform_axi_tlb
dependencies:
- axi
- common_cells
- common_verification
- register_interface
clint:
revision: e1357c1d0edddde458aec58363473605f51e539e
version: 0.1.0
source:
Git: https://github.com/pulp-platform/clint.git
dependencies:
- common_cells
- register_interface
common_cells:
revision: 2bd027cb87eaa9bf7d17196ec5f69864b35b630f
version: 1.32.0
source:
Git: https://github.com/pulp-platform/common_cells.git
dependencies:
- common_verification
- tech_cells_generic
common_verification:
revision: 9c07fa860593b2caabd9b5681740c25fac04b878
version: 0.2.3
source:
Git: https://github.com/pulp-platform/common_verification.git
dependencies: []
cva6:
revision: null
version: null
source:
Path: hw/vendor/openhwgroup_cva6
dependencies:
- axi
- common_cells
- fpnew
- tech_cells_generic
fpnew:
revision: a8e0cba6dd50f357ece73c2c955d96efc3c6c315
version: null
source:
Git: https://github.com/pulp-platform/cvfpu.git
dependencies:
- common_cells
- fpu_div_sqrt_mvp
fpu_div_sqrt_mvp:
revision: 86e1f558b3c95e91577c41b2fc452c86b04e85ac
version: 1.0.4
source:
Git: https://github.com/pulp-platform/fpu_div_sqrt_mvp.git
dependencies:
- common_cells
opentitan_peripherals:
revision: null
version: null
source:
Path: hw/vendor/pulp_platform_opentitan_peripherals
dependencies:
- common_cells
- register_interface
register_interface:
revision: d7693be4aef1fc7e7eb2b00b41c42e87d959866c
version: 0.4.2
source:
Git: https://github.com/pulp-platform/register_interface.git
dependencies:
- apb
- axi
- common_cells
- common_verification
riscv-dbg:
revision: 358f90110220adf7a083f8b65d157e836d706236
version: 0.8.1
source:
Git: https://github.com/pulp-platform/riscv-dbg
dependencies:
- common_cells
- tech_cells_generic
snitch_cluster:
revision: null
version: null
source:
Path: working_dir/snitch_cluster
dependencies:
- axi
- axi_riscv_atomics
- common_cells
- fpnew
- register_interface
- riscv-dbg
- tech_cells_generic
tech_cells_generic:
revision: a9cae21902e75b1434328ecf36f85327ba5717de
version: 0.2.11
source:
Git: https://github.com/pulp-platform/tech_cells_generic.git
dependencies:
- common_verification
4 changes: 2 additions & 2 deletions target/sim/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -237,7 +237,7 @@ test/testharness.sv: test/testharness.sv.tpl $(CFG)
# @echo "[SOLDER] Device Tree"
# @$(OCCAMYGEN) --cfg $(CFG) --outdir . --dts test/occamy.dts

$(TARGET_PLIC_DIR) $(TARGET_CLINT_DIR) $(TARGET_SOCCTRL_DIR) $(TARGET_HBMCTRL_DIR) $(TARGET_QUADCTRL_DIR) ${VSIM_BUILDDIR} ${LOGS_DIR}:
$(TARGET_PLIC_DIR) $(TARGET_CLINT_DIR) $(TARGET_SOCCTRL_DIR) $(TARGET_HBMCTRL_DIR) $(TARGET_QUADCTRL_DIR) ${LOGS_DIR}:
@mkdir -p $@

CLINTROOT = $(shell $(BENDER) path clint)
Expand Down Expand Up @@ -575,7 +575,7 @@ clean-work:
rm -rf work

clean-bender:
rm -rf $(ROOT)/Bender.lock $(ROOT)/.bender/ $(ROOT)/deps
rm -rf $(ROOT)/.bender/ $(ROOT)/deps

clean-logs:
rm -rf $(LOGS_DIR)/
Expand Down
Loading

0 comments on commit e93e351

Please sign in to comment.