Skip to content
This repository has been archived by the owner on Nov 22, 2023. It is now read-only.

occamy: Add HBI narrow cfg regbus + Connect PCIE cfg regbus #348

Merged
merged 4 commits into from
Feb 25, 2022
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
118 changes: 59 additions & 59 deletions docs/ug/occamy_addrmap.md
Original file line number Diff line number Diff line change
Expand Up @@ -4,65 +4,65 @@ This is the current address map of occamy. Note that the Quadrants address map h

## Occamy Address Map

| Name | Size | Status | Start | End |
| ------------- | -------: | :----: | ----------------: | ----------------: |
| DEBUG | 4.0 KB | used | 0x0000\_0000 | 0x0000\_0fff |
| - | 16.0 MB | free | 0x0000\_1000 | 0x00ff\_ffff |
| BOOTROM | 128.0 KB | used | 0x0100\_0000 | 0x0101\_ffff |
| - | 15.9 MB | free | 0x0102\_0000 | 0x01ff\_ffff |
| SOC\_CTRL | 4.0 KB | used | 0x0200\_0000 | 0x0200\_0fff |
| CLK\_MGR | 4.0 KB | used | 0x0200\_1000 | 0x0200\_1fff |
| UART | 4.0 KB | used | 0x0200\_2000 | 0x0200\_2fff |
| GPIO | 4.0 KB | used | 0x0200\_3000 | 0x0200\_3fff |
| I2C | 4.0 KB | used | 0x0200\_4000 | 0x0200\_4fff |
| CHIP\_CTRL | 4.0 KB | used | 0x0200\_5000 | 0x0200\_5fff |
| TIMER | 4.0 KB | used | 0x0200\_6000 | 0x0200\_6fff |
| - | 16.0 MB | free | 0x0200\_7000 | 0x02ff\_ffff |
| SPIM | 128.0 KB | used | 0x0300\_0000 | 0x0301\_ffff |
| - | 15.9 MB | free | 0x0302\_0000 | 0x03ff\_ffff |
| CLINT | 1.0 MB | used | 0x0400\_0000 | 0x040f\_ffff |
| - | 15.0 MB | free | 0x0410\_0000 | 0x04ff\_ffff |
| PCIE\_CFG | 128.0 KB | used | 0x0500\_0000 | 0x0501\_ffff |
| - | 15.9 MB | free | 0x0502\_0000 | 0x05ff\_ffff |
| HBI\_CFG | 64.0 KB | used | 0x0600\_0000 | 0x0600\_ffff |
| - | 15.9 MB | free | 0x0601\_0000 | 0x06ff\_ffff |
| HBI\_CTL | 64.0 KB | used | 0x0700\_0000 | 0x0700\_ffff |
| - | 15.9 MB | free | 0x0701\_0000 | 0x07ff\_ffff |
| HBM\_CFG | 4.0 MB | used | 0x0800\_0000 | 0x083f\_ffff |
| - | 12.0 MB | free | 0x0840\_0000 | 0x08ff\_ffff |
| HBM\_PHY\_CFG | 1.0 MB | used | 0x0900\_0000 | 0x090f\_ffff |
| - | 15.0 MB | free | 0x0910\_0000 | 0x09ff\_ffff |
| HBM\_SEQ | 64.0 KB | used | 0x0a00\_0000 | 0x0a00\_ffff |
| - | 15.9 MB | free | 0x0a01\_0000 | 0x0aff\_ffff |
| QUAD\_0\_CFG | 64.0 KB | used | 0x0b00\_0000 | 0x0b00\_ffff |
| QUAD\_1\_CFG | 64.0 KB | used | 0x0b01\_0000 | 0x0b01\_ffff |
| QUAD\_2\_CFG | 64.0 KB | used | 0x0b02\_0000 | 0x0b02\_ffff |
| QUAD\_3\_CFG | 64.0 KB | used | 0x0b03\_0000 | 0x0b03\_ffff |
| QUAD\_4\_CFG | 64.0 KB | used | 0x0b04\_0000 | 0x0b04\_ffff |
| QUAD\_5\_CFG | 64.0 KB | used | 0x0b05\_0000 | 0x0b05\_ffff |
| QUAD\_6\_CFG | 64.0 KB | used | 0x0b06\_0000 | 0x0b06\_ffff |
| QUAD\_7\_CFG | 64.0 KB | used | 0x0b07\_0000 | 0x0b07\_ffff |
| - | 15.5 MB | free | 0x0b08\_0000 | 0x0bff\_ffff |
| PLIC | 64.0 MB | used | 0x0c00\_0000 | 0x0fff\_ffff |
| QUADRANTS | 8.0 MB | used | 0x1000\_0000 | 0x107f\_ffff |
| - | 248.0 MB | free | 0x1080\_0000 | 0x1fff\_ffff |
| PCIE | 640.0 MB | used | 0x2000\_0000 | 0x47ff\_ffff |
| PCIE | 640.0 MB | used | 0x4800\_0000 | 0x6fff\_ffff |
| SPM | 128.0 KB | used | 0x7000\_0000 | 0x7001\_ffff |
| - | 255.9 MB | free | 0x7002\_0000 | 0x7fff\_ffff |
| HBM\_0 | 1.0 GB | used | 0x8000\_0000 | 0xbfff\_ffff |
| HBM\_1 | 1.0 GB | used | 0xc000\_0000 | 0xffff\_ffff |
| - | 60.0 GB | free | 0x1\_0000\_0000 | 0xf\_ffff\_ffff |
| HBM\_0 | 1.0 GB | used | 0x10\_0000\_0000 | 0x10\_3fff\_ffff |
| HBM\_1 | 1.0 GB | used | 0x10\_4000\_0000 | 0x10\_7fff\_ffff |
| HBM\_2 | 1.0 GB | used | 0x10\_8000\_0000 | 0x10\_bfff\_ffff |
| HBM\_3 | 1.0 GB | used | 0x10\_c000\_0000 | 0x10\_ffff\_ffff |
| HBM\_4 | 1.0 GB | used | 0x11\_0000\_0000 | 0x11\_3fff\_ffff |
| HBM\_5 | 1.0 GB | used | 0x11\_4000\_0000 | 0x11\_7fff\_ffff |
| HBM\_6 | 1.0 GB | used | 0x11\_8000\_0000 | 0x11\_bfff\_ffff |
| HBM\_7 | 1.0 GB | used | 0x11\_c000\_0000 | 0x11\_ffff\_ffff |
| - | 952.0 GB | free | 0x12\_0000\_0000 | 0xff\_ffff\_ffff |
| HBI | 1.0 TB | used | 0x100\_0000\_0000 | 0x1ff\_ffff\_ffff |
| Name | Size | Status | Start | End |
| ---------------- | -------: | :----: | ----------------: | ----------------: |
| DEBUG | 4.0 KB | used | 0x0000\_0000 | 0x0000\_0fff |
| - | 16.0 MB | free | 0x0000\_1000 | 0x00ff\_ffff |
| BOOTROM | 128.0 KB | used | 0x0100\_0000 | 0x0101\_ffff |
| - | 15.9 MB | free | 0x0102\_0000 | 0x01ff\_ffff |
| SOC\_CTRL | 4.0 KB | used | 0x0200\_0000 | 0x0200\_0fff |
| CLK\_MGR | 4.0 KB | used | 0x0200\_1000 | 0x0200\_1fff |
| UART | 4.0 KB | used | 0x0200\_2000 | 0x0200\_2fff |
| GPIO | 4.0 KB | used | 0x0200\_3000 | 0x0200\_3fff |
| I2C | 4.0 KB | used | 0x0200\_4000 | 0x0200\_4fff |
| CHIP\_CTRL | 4.0 KB | used | 0x0200\_5000 | 0x0200\_5fff |
| TIMER | 4.0 KB | used | 0x0200\_6000 | 0x0200\_6fff |
| - | 16.0 MB | free | 0x0200\_7000 | 0x02ff\_ffff |
| SPIM | 128.0 KB | used | 0x0300\_0000 | 0x0301\_ffff |
| - | 15.9 MB | free | 0x0302\_0000 | 0x03ff\_ffff |
| CLINT | 1.0 MB | used | 0x0400\_0000 | 0x040f\_ffff |
| - | 15.0 MB | free | 0x0410\_0000 | 0x04ff\_ffff |
| PCIE\_CFG | 128.0 KB | used | 0x0500\_0000 | 0x0501\_ffff |
| - | 15.9 MB | free | 0x0502\_0000 | 0x05ff\_ffff |
| HBI\_WIDE\_CFG | 64.0 KB | used | 0x0600\_0000 | 0x0600\_ffff |
| - | 15.9 MB | free | 0x0601\_0000 | 0x06ff\_ffff |
| HBI\_NARROW\_CFG | 64.0 KB | used | 0x0700\_0000 | 0x0700\_ffff |
| - | 15.9 MB | free | 0x0701\_0000 | 0x07ff\_ffff |
| HBM\_CFG | 4.0 MB | used | 0x0800\_0000 | 0x083f\_ffff |
| - | 12.0 MB | free | 0x0840\_0000 | 0x08ff\_ffff |
| HBM\_PHY\_CFG | 1.0 MB | used | 0x0900\_0000 | 0x090f\_ffff |
| - | 15.0 MB | free | 0x0910\_0000 | 0x09ff\_ffff |
| HBM\_SEQ | 64.0 KB | used | 0x0a00\_0000 | 0x0a00\_ffff |
| - | 15.9 MB | free | 0x0a01\_0000 | 0x0aff\_ffff |
| QUAD\_0\_CFG | 64.0 KB | used | 0x0b00\_0000 | 0x0b00\_ffff |
| QUAD\_1\_CFG | 64.0 KB | used | 0x0b01\_0000 | 0x0b01\_ffff |
| QUAD\_2\_CFG | 64.0 KB | used | 0x0b02\_0000 | 0x0b02\_ffff |
| QUAD\_3\_CFG | 64.0 KB | used | 0x0b03\_0000 | 0x0b03\_ffff |
| QUAD\_4\_CFG | 64.0 KB | used | 0x0b04\_0000 | 0x0b04\_ffff |
| QUAD\_5\_CFG | 64.0 KB | used | 0x0b05\_0000 | 0x0b05\_ffff |
| QUAD\_6\_CFG | 64.0 KB | used | 0x0b06\_0000 | 0x0b06\_ffff |
| QUAD\_7\_CFG | 64.0 KB | used | 0x0b07\_0000 | 0x0b07\_ffff |
| - | 15.5 MB | free | 0x0b08\_0000 | 0x0bff\_ffff |
| PLIC | 64.0 MB | used | 0x0c00\_0000 | 0x0fff\_ffff |
| QUADRANTS | 8.0 MB | used | 0x1000\_0000 | 0x107f\_ffff |
| - | 248.0 MB | free | 0x1080\_0000 | 0x1fff\_ffff |
| PCIE | 640.0 MB | used | 0x2000\_0000 | 0x47ff\_ffff |
| PCIE | 640.0 MB | used | 0x4800\_0000 | 0x6fff\_ffff |
| SPM | 128.0 KB | used | 0x7000\_0000 | 0x7001\_ffff |
| - | 255.9 MB | free | 0x7002\_0000 | 0x7fff\_ffff |
| HBM\_0 | 1.0 GB | used | 0x8000\_0000 | 0xbfff\_ffff |
| HBM\_1 | 1.0 GB | used | 0xc000\_0000 | 0xffff\_ffff |
| - | 60.0 GB | free | 0x1\_0000\_0000 | 0xf\_ffff\_ffff |
| HBM\_0 | 1.0 GB | used | 0x10\_0000\_0000 | 0x10\_3fff\_ffff |
| HBM\_1 | 1.0 GB | used | 0x10\_4000\_0000 | 0x10\_7fff\_ffff |
| HBM\_2 | 1.0 GB | used | 0x10\_8000\_0000 | 0x10\_bfff\_ffff |
| HBM\_3 | 1.0 GB | used | 0x10\_c000\_0000 | 0x10\_ffff\_ffff |
| HBM\_4 | 1.0 GB | used | 0x11\_0000\_0000 | 0x11\_3fff\_ffff |
| HBM\_5 | 1.0 GB | used | 0x11\_4000\_0000 | 0x11\_7fff\_ffff |
| HBM\_6 | 1.0 GB | used | 0x11\_8000\_0000 | 0x11\_bfff\_ffff |
| HBM\_7 | 1.0 GB | used | 0x11\_c000\_0000 | 0x11\_ffff\_ffff |
| - | 952.0 GB | free | 0x12\_0000\_0000 | 0xff\_ffff\_ffff |
| HBI | 1.0 TB | used | 0x100\_0000\_0000 | 0x1ff\_ffff\_ffff |


## Quadrants Address Map
Expand Down
4 changes: 2 additions & 2 deletions hw/system/occamy/addrmap/addrmap.tex
Original file line number Diff line number Diff line change
Expand Up @@ -63,13 +63,13 @@
\bitbox{14}{15.9 MB EMPTY} \\ \bytefieldsetup{bitheight=2\baselineskip}%

\bitbox[]{5}{\texttt{0x0600\_0000} \\ [0\baselineskip] \texttt{0x0600\_ffff}} &
\bitbox{14}{64.0 KB for HBI\_CFG} \\
\bitbox{14}{64.0 KB for HBI\_WIDE\_CFG} \\
\bytefieldsetup{bitheight=1.4\baselineskip}%
\bitbox[]{5}{} &
\bitbox{14}{15.9 MB EMPTY} \\ \bytefieldsetup{bitheight=2\baselineskip}%

\bitbox[]{5}{\texttt{0x0700\_0000} \\ [0\baselineskip] \texttt{0x0700\_ffff}} &
\bitbox{14}{64.0 KB for HBI\_CTL} \\
\bitbox{14}{64.0 KB for HBI\_NARROW\_CFG} \\
\bytefieldsetup{bitheight=1.4\baselineskip}%
\bitbox[]{5}{} &
\bitbox{14}{15.9 MB EMPTY} \\ \bytefieldsetup{bitheight=2\baselineskip}%
Expand Down
4 changes: 2 additions & 2 deletions hw/system/occamy/src/occamy_cfg.hjson
Original file line number Diff line number Diff line change
Expand Up @@ -272,12 +272,12 @@
length: 131072, // 128 kiB 0x2_0000
},
{
name: "hbi_cfg",
name: "hbi_wide_cfg",
address: 100663296, // 0x0600_0000
length: 65536, // 64 kiB 0x1_0000
},
{
name: "hbi_ctl",
name: "hbi_narrow_cfg",
address: 117440512, // 0x0700_0000
length: 65536, // 64 kiB 0x1_0000
},
Expand Down
4 changes: 2 additions & 2 deletions hw/system/occamy/src/occamy_pkg.sv
Original file line number Diff line number Diff line change
Expand Up @@ -185,8 +185,8 @@ package occamy_pkg;
SOC_REGBUS_PERIPH_XBAR_OUT_TIMER,
SOC_REGBUS_PERIPH_XBAR_OUT_SPIM,
SOC_REGBUS_PERIPH_XBAR_OUT_PCIE_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBI_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBI_CTL,
SOC_REGBUS_PERIPH_XBAR_OUT_HBI_WIDE_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBI_NARROW_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBM_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBM_PHY_CFG,
SOC_REGBUS_PERIPH_XBAR_OUT_HBM_SEQ,
Expand Down
41 changes: 15 additions & 26 deletions hw/system/occamy/src/occamy_top.sv
Original file line number Diff line number Diff line change
Expand Up @@ -66,10 +66,10 @@ module occamy_top
input reg_a48_d32_rsp_t clk_mgr_rsp_i,

/// HBI Config and APB Control
output reg_a48_d32_req_t hbi_cfg_req_o,
input reg_a48_d32_rsp_t hbi_cfg_rsp_i,
output apb_a48_d32_req_t apb_hbi_ctl_req_o,
input apb_a48_d32_rsp_t apb_hbi_ctl_rsp_i,
output reg_a48_d32_req_t hbi_wide_cfg_req_o,
input reg_a48_d32_rsp_t hbi_wide_cfg_rsp_i,
output reg_a48_d32_req_t hbi_narrow_cfg_req_o,
input reg_a48_d32_rsp_t hbi_narrow_cfg_rsp_i,
/// HBM Config
output apb_a48_d32_req_t apb_hbm_cfg_req_o,
input apb_a48_d32_rsp_t apb_hbm_cfg_rsp_i,
Expand Down Expand Up @@ -462,30 +462,19 @@ SOC_REGBUS_PERIPH_XBAR_NUM_OUTPUTS
);


//////////////////////
// HBI & HBM Config //
//////////////////////

// APB port for HBI
apb_a48_d32_req_t apb_hbi_ctl_req;
apb_a48_d32_rsp_t apb_hbi_ctl_rsp;
/////////////////////////////
// HBI & HBM & PCIE Config //
/////////////////////////////

reg_to_apb #(
.reg_req_t(reg_a48_d32_req_t),
.reg_rsp_t(reg_a48_d32_rsp_t),
.apb_req_t(apb_a48_d32_req_t),
.apb_rsp_t(apb_a48_d32_rsp_t)
) i_apb_hbi_ctl_pc (
.clk_i(clk_periph_i),
.rst_ni(rst_periph_ni),
.reg_req_i(soc_regbus_periph_xbar_out_req[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_CTL]),
.reg_rsp_o(soc_regbus_periph_xbar_out_rsp[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_CTL]),
.apb_req_o(apb_hbi_ctl_req),
.apb_rsp_i(apb_hbi_ctl_rsp)
);
// RegBus port for HBI
assign hbi_wide_cfg_req_o = soc_regbus_periph_xbar_out_req[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_WIDE_CFG];
assign soc_regbus_periph_xbar_out_rsp[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_WIDE_CFG] = hbi_wide_cfg_rsp_i;
assign hbi_narrow_cfg_req_o = soc_regbus_periph_xbar_out_req[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_NARROW_CFG];
assign soc_regbus_periph_xbar_out_rsp[SOC_REGBUS_PERIPH_XBAR_OUT_HBI_NARROW_CFG] = hbi_narrow_cfg_rsp_i;

assign apb_hbi_ctl_req_o = apb_hbi_ctl_req;
assign apb_hbi_ctl_rsp = apb_hbi_ctl_rsp_i;
// RegBus port for PCIE
assign pcie_cfg_req_o = soc_regbus_periph_xbar_out_req[SOC_REGBUS_PERIPH_XBAR_OUT_PCIE_CFG];
assign soc_regbus_periph_xbar_out_rsp[SOC_REGBUS_PERIPH_XBAR_OUT_PCIE_CFG] = pcie_cfg_rsp_i;

// APB port for HBM
apb_a48_d32_req_t apb_hbm_cfg_req;
Expand Down
27 changes: 16 additions & 11 deletions hw/system/occamy/src/occamy_top.sv.tpl
Original file line number Diff line number Diff line change
Expand Up @@ -66,10 +66,10 @@ module ${name}_top
input ${soc_regbus_periph_xbar.out_clk_mgr.rsp_type()} clk_mgr_rsp_i,

/// HBI Config and APB Control
output ${soc_regbus_periph_xbar.out_hbi_cfg.req_type()} hbi_cfg_req_o,
input ${soc_regbus_periph_xbar.out_hbi_cfg.rsp_type()} hbi_cfg_rsp_i,
output ${apb_hbi_ctl.req_type()} apb_hbi_ctl_req_o,
input ${apb_hbi_ctl.rsp_type()} apb_hbi_ctl_rsp_i,
output ${soc_regbus_periph_xbar.out_hbi_wide_cfg.req_type()} hbi_wide_cfg_req_o,
input ${soc_regbus_periph_xbar.out_hbi_wide_cfg.rsp_type()} hbi_wide_cfg_rsp_i,
output ${soc_regbus_periph_xbar.out_hbi_narrow_cfg.req_type()} hbi_narrow_cfg_req_o,
input ${soc_regbus_periph_xbar.out_hbi_narrow_cfg.rsp_type()} hbi_narrow_cfg_rsp_i,
/// HBM Config
output ${apb_hbm_cfg.req_type()} apb_hbm_cfg_req_o,
input ${apb_hbm_cfg.rsp_type()} apb_hbm_cfg_rsp_i,
Expand Down Expand Up @@ -223,14 +223,19 @@ module ${name}_top
.to_axi_lite(context, "axi_to_axi_lite_regbus_periph") \
.to_reg(context, "axi_lite_to_regbus_periph", to=soc_regbus_periph_xbar.in_soc) %> \

//////////////////////
// HBI & HBM Config //
//////////////////////
/////////////////////////////
// HBI & HBM & PCIE Config //
/////////////////////////////

// RegBus port for HBI
assign hbi_wide_cfg_req_o = ${soc_regbus_periph_xbar.out_hbi_wide_cfg.req_name()};
assign ${soc_regbus_periph_xbar.out_hbi_wide_cfg.rsp_name()} = hbi_wide_cfg_rsp_i;
assign hbi_narrow_cfg_req_o = ${soc_regbus_periph_xbar.out_hbi_narrow_cfg.req_name()};
assign ${soc_regbus_periph_xbar.out_hbi_narrow_cfg.rsp_name()} = hbi_narrow_cfg_rsp_i;

// APB port for HBI
<% soc_regbus_periph_xbar.out_hbi_ctl.to_apb(context, "apb_hbi_ctl", to=apb_hbi_ctl) %>
assign apb_hbi_ctl_req_o = ${apb_hbi_ctl.req_name()};
assign ${apb_hbi_ctl.rsp_name()} = apb_hbi_ctl_rsp_i;
// RegBus port for PCIE
assign pcie_cfg_req_o = ${soc_regbus_periph_xbar.out_pcie_cfg.req_name()};
assign ${soc_regbus_periph_xbar.out_pcie_cfg.rsp_name()} = pcie_cfg_rsp_i;

// APB port for HBM
<% soc_regbus_periph_xbar.out_hbm_cfg.to_apb(context, "apb_hbm_cfg", to=apb_hbm_cfg) %>
Expand Down
8 changes: 4 additions & 4 deletions hw/system/occamy/test/testharness.sv
Original file line number Diff line number Diff line change
Expand Up @@ -273,10 +273,10 @@ module testharness import occamy_pkg::*; (
.bootrom_rsp_i (bootrom_regbus_rsp),
.clk_mgr_req_o (clk_mgr_req),
.clk_mgr_rsp_i (clk_mgr_rsp),
.hbi_cfg_req_o (),
.hbi_cfg_rsp_i ('0),
.apb_hbi_ctl_req_o (),
.apb_hbi_ctl_rsp_i ('0),
.hbi_wide_cfg_req_o (),
.hbi_wide_cfg_rsp_i ('0),
.hbi_narrow_cfg_req_o (),
.hbi_narrow_cfg_rsp_i ('0),
.apb_hbm_cfg_req_o (),
.apb_hbm_cfg_rsp_i ('0),
.hbm_phy_cfg_req_o (),
Expand Down
8 changes: 4 additions & 4 deletions hw/system/occamy/test/testharness.sv.tpl
Original file line number Diff line number Diff line change
Expand Up @@ -100,10 +100,10 @@ module testharness import occamy_pkg::*; (
.bootrom_rsp_i (bootrom_regbus_rsp),
.clk_mgr_req_o (clk_mgr_req),
.clk_mgr_rsp_i (clk_mgr_rsp),
.hbi_cfg_req_o (),
.hbi_cfg_rsp_i ('0),
.apb_hbi_ctl_req_o (),
.apb_hbi_ctl_rsp_i ('0),
.hbi_wide_cfg_req_o (),
.hbi_wide_cfg_rsp_i ('0),
.hbi_narrow_cfg_req_o (),
.hbi_narrow_cfg_rsp_i ('0),
.apb_hbm_cfg_req_o (),
.apb_hbm_cfg_rsp_i ('0),
.hbm_phy_cfg_req_o (),
Expand Down
4 changes: 2 additions & 2 deletions sw/snRuntime/include/occamy_base_addr.h
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,8 @@
#define TIMER_BASE_ADDR 0x02006000
#define SPIM_BASE_ADDR 0x03000000
#define PCIE_CFG_BASE_ADDR 0x05000000
#define HBI_CFG_BASE_ADDR 0x06000000
#define HBI_CTL_BASE_ADDR 0x07000000
#define HBI_WIDE_CFG_BASE_ADDR 0x06000000
#define HBI_NARROW_CFG_BASE_ADDR 0x07000000
#define HBM_CFG_BASE_ADDR 0x08000000
#define HBM_PHY_CFG_BASE_ADDR 0x09000000
#define HBM_SEQ_BASE_ADDR 0x0A000000
Expand Down
11 changes: 2 additions & 9 deletions util/occamygen.py
Original file line number Diff line number Diff line change
Expand Up @@ -773,17 +773,11 @@ def main():
solder.render()

###############
# HBI APB CTL #
# HBM APB CTL #
###############
if is_remote_quadrant:
apb_hbi_ctl = apb_hbm_cfg = None
apb_hbm_cfg = None
else:
apb_hbi_ctl = solder.ApbBus(clk=soc_regbus_periph_xbar.clk,
rst=soc_regbus_periph_xbar.rst,
aw=soc_regbus_periph_xbar.aw,
dw=soc_regbus_periph_xbar.dw,
name="apb_hbi_ctl")

apb_hbm_cfg = solder.ApbBus(clk=soc_regbus_periph_xbar.clk,
rst=soc_regbus_periph_xbar.rst,
aw=soc_regbus_periph_xbar.aw,
Expand All @@ -805,7 +799,6 @@ def main():
"wide_xbar_quadrant_s1": wide_xbar_quadrant_s1,
"narrow_xbar_quadrant_s1": narrow_xbar_quadrant_s1,
"soc_regbus_periph_xbar": soc_regbus_periph_xbar,
"apb_hbi_ctl": apb_hbi_ctl,
"apb_hbm_cfg": apb_hbm_cfg,
"cfg": occamy.cfg,
"cores": nr_s1_quadrants * nr_s1_clusters * nr_cluster_cores + nr_remote_cores + 1,
Expand Down