Skip to content

recogni/svlib

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 
 
 
 
 

Repository files navigation

-------------------------------------------------------------------------------
              svlib release 0.5 (15 Feb 2015)
-------------------------------------------------------------------------------

INSTRUCTIONS:

- unzip the archive somewhere convenient (you already did that, else
  you wouldn't be reading this file)

- write your SV code, using the following spells as required:

    `include "svlib_macros.svh" // only if you actually need the macros
    module WHATEVER_YOU_LIKE;
      import svlib_pkg::*;

- Write code using svlib features, taking your cues from the user guide 
  in the doc/ directory, and documentation in the paper and slides
  
- Compile, preferably using your tool's one-step compile such as
  qverilog, irun, vcs. If <dir> is the place where you find this file,
  ensure that your command line contains:
    +incdir+<dir>/src <dir>/src/svlib_pkg.sv <dir>/src/dpi/svlib_dpi.c
  
- Additionally, for VCS only, you will need not only "-R -sverilog" but also
    -LDFLAGS -lrt

Good luck and please tell us about what goes wrong and what goes well!

thanks
Jonathan Bromley

svlib@verilab.com