Skip to content

Commit

Permalink
Merge pull request #471 from thuchoang90/master
Browse files Browse the repository at this point in the history
Fix issue when building WithoutFPU
  • Loading branch information
jerryz123 committed Jun 26, 2020
2 parents 1fa9c3f + cb1b7b4 commit 0049880
Showing 1 changed file with 2 additions and 4 deletions.
6 changes: 2 additions & 4 deletions src/main/scala/exu/core.scala
Original file line number Diff line number Diff line change
Expand Up @@ -98,9 +98,9 @@ class BoomCore(usingTrace: Boolean)(implicit p: Parameters) extends BoomModule
val decode_units = for (w <- 0 until decodeWidth) yield { val d = Module(new DecodeUnit); d }
val dec_brmask_logic = Module(new BranchMaskGenerationLogic(coreWidth))
val rename_stage = Module(new RenameStage(coreWidth, numIntPhysRegs, numIntRenameWakeupPorts, false))
val fp_rename_stage = Module(new RenameStage(coreWidth, numFpPhysRegs, numFpWakeupPorts, true))
val fp_rename_stage = if (usingFPU) Module(new RenameStage(coreWidth, numFpPhysRegs, numFpWakeupPorts, true)) else null
val pred_rename_stage = Module(new PredRenameStage(coreWidth, ftqSz, 1))
val rename_stages = Seq(rename_stage, fp_rename_stage, pred_rename_stage)
val rename_stages = if (usingFPU) Seq(rename_stage, fp_rename_stage, pred_rename_stage) else Seq(rename_stage, pred_rename_stage)

val mem_iss_unit = Module(new IssueUnitCollapsing(memIssueParam, numIntIssueWakeupPorts))
mem_iss_unit.suggestName("mem_issue_unit")
Expand Down Expand Up @@ -885,8 +885,6 @@ class BoomCore(usingTrace: Boolean)(implicit p: Parameters) extends BoomModule
for ((renport, fpport) <- fp_rename_stage.io.wakeups zip fp_pipeline.io.wakeups) {
renport <> fpport
}
} else {
fp_rename_stage.io.wakeups := DontCare
}
if (enableSFBOpt) {
pred_rename_stage.io.wakeups(0) := pred_wakeup
Expand Down

0 comments on commit 0049880

Please sign in to comment.