Skip to content

Commit

Permalink
Set boom's marchid
Browse files Browse the repository at this point in the history
  • Loading branch information
jerryz123 committed Mar 23, 2023
1 parent 47c6f9c commit 38e1a1e
Showing 1 changed file with 3 additions and 0 deletions.
3 changes: 3 additions & 0 deletions src/main/scala/common/parameters.scala
Original file line number Diff line number Diff line change
Expand Up @@ -143,6 +143,9 @@ class BoomCustomCSRs(implicit p: Parameters) extends freechips.rocketchip.tile.C
Some(CustomCSR(chickenCSRId, mask, Some(init)))
}
def disableOOO = getOrElse(chickenCSR, _.value(3), true.B)
def marchid = CustomCSR.constant(CSRs.marchid, BigInt(2))

override def decls: Seq[CustomCSR] = super.decls +: marchid
}

/**
Expand Down

0 comments on commit 38e1a1e

Please sign in to comment.