Skip to content

Commit

Permalink
Merge pull request #633 from riscv-boom/chisel356
Browse files Browse the repository at this point in the history
Bump to chisel 3.5.6/latest rocket-chip
  • Loading branch information
jerryz123 committed Apr 7, 2023
2 parents 051dbad + 1b1f210 commit 49e8d04
Show file tree
Hide file tree
Showing 54 changed files with 55 additions and 55 deletions.
2 changes: 1 addition & 1 deletion src/main/scala/common/config-mixins.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ package boom.common
import chisel3._
import chisel3.util.{log2Up}

import freechips.rocketchip.config.{Parameters, Config, Field}
import org.chipsalliance.cde.config.{Parameters, Config, Field}
import freechips.rocketchip.subsystem._
import freechips.rocketchip.devices.tilelink.{BootROMParams}
import freechips.rocketchip.diplomacy.{SynchronousCrossing, AsynchronousCrossing, RationalCrossing}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/common/consts.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.common.constants
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.Str
import freechips.rocketchip.rocket.RVCExpander

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/common/micro-op.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.common
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.exu.FUConstants

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/common/parameters.scala
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@ import freechips.rocketchip.rocket._
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
import freechips.rocketchip.subsystem.{MemoryPortParams}
import freechips.rocketchip.config.{Parameters, Field}
import org.chipsalliance.cde.config.{Parameters, Field}
import freechips.rocketchip.devices.tilelink.{BootROMParams, CLINTParams, PLICParams}

import boom.ifu._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/common/tile.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@ import chisel3.util.{RRArbiter, Queue}

import scala.collection.mutable.{ListBuffer}

import freechips.rocketchip.config._
import org.chipsalliance.cde.config._
import freechips.rocketchip.subsystem._
import freechips.rocketchip.devices.tilelink._
import freechips.rocketchip.diplomacy._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/common/types.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ package boom.common

import chisel3._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

/**
* BOOM module that is used to add parameters to the module
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/core.scala
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,7 @@ import java.nio.file.{Paths}
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.rocket.Instructions._
import freechips.rocketchip.rocket.{Causes, PRV, TracedInstruction}
import freechips.rocketchip.util.{Str, UIntIsOneOf, CoreMonitorBundle}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/decode.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.rocket.Instructions._
import freechips.rocketchip.rocket.Instructions32
import freechips.rocketchip.rocket.CustomInstructions._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/dispatch.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.common._
import boom.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/execution-unit.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ import scala.collection.mutable.{ArrayBuffer}
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Parameters}
import org.chipsalliance.cde.config.{Parameters}
import freechips.rocketchip.rocket.{BP}
import freechips.rocketchip.tile.{XLen, RoCCCoreIO}
import freechips.rocketchip.tile
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/execution-units.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ import scala.collection.mutable.{ArrayBuffer}

import chisel3._

import freechips.rocketchip.config.{Parameters}
import org.chipsalliance.cde.config.{Parameters}

import boom.common._
import boom.util.{BoomCoreStringPrefix}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/fdiv.scala
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,7 @@ package boom.exu

import chisel3._
import chisel3.util._
import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile.FPConstants._
import freechips.rocketchip.tile
import boom.common._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/fpu.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ package boom.exu

import chisel3._
import chisel3.util._
import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile.FPConstants._
import freechips.rocketchip.tile.{FPUCtrlSigs, HasFPUParameters}
import freechips.rocketchip.tile
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/functional-unit.scala
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util._
import freechips.rocketchip.tile
import freechips.rocketchip.rocket.{PipelinedMultiplier,BP,BreakpointUnit,Causes,CSR}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/execution-units/rocc.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile.{RoCCCoreIO, RoCCInstruction}
import freechips.rocketchip.rocket._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/fp-pipeline.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Parameters}
import org.chipsalliance.cde.config.{Parameters}
import freechips.rocketchip.rocket
import freechips.rocketchip.tile

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/issue-units/issue-slot.scala
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.common._
import boom.util._
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.exu
import chisel3._
import chisel3.util.{log2Ceil, PopCount}

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.Str

import FUConstants._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/issue-units/issue-unit-unordered.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.Str

import FUConstants._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/issue-units/issue-unit.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.{Str}

import boom.common._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/register-read/func-unit-decode.scala
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.uintToBitPat
import freechips.rocketchip.rocket.CSR

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/register-read/regfile.scala
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ import scala.collection.mutable.ArrayBuffer
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.common._
import boom.util.{BoomCoreStringPrefix}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/register-read/register-read.scala
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.common._
import boom.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/rename/rename-busytable.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ import chisel3._
import chisel3.util._
import boom.common._
import boom.util._
import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

class BusyResp extends Bundle
{
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/rename/rename-freelist.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ import chisel3._
import chisel3.util._
import boom.common._
import boom.util._
import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

class RenameFreeList(
val plWidth: Int,
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/rename/rename-maptable.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ import chisel3._
import chisel3.util._
import boom.common._
import boom.util._
import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

class MapReq(val lregSz: Int) extends Bundle
{
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/rename/rename-stage.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ package boom.exu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters

import boom.common._
import boom.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/exu/rob.scala
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,7 @@ import scala.math.ceil
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.Parameters
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.Str

import boom.common._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/bim.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/btb.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/composer.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/faubtb.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/hbim.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/local.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/loop.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/predictor.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/ras.scala
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ import chisel3._
import chisel3.util._
import chisel3.internal.sourceinfo.{SourceInfo}

import freechips.rocketchip.config._
import org.chipsalliance.cde.config._
import freechips.rocketchip.subsystem._
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.rocket._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/sw_predictor.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/tage.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/tourney.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/bpd/ubtb.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Field, Parameters}
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/fetch-buffer.scala
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Parameters}
import org.chipsalliance.cde.config.{Parameters}
import freechips.rocketchip.rocket.{MStatus, BP, BreakpointUnit}

import boom.common._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/fetch-target-queue.scala
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,7 @@ package boom.ifu
import chisel3._
import chisel3.util._

import freechips.rocketchip.config.{Parameters}
import org.chipsalliance.cde.config.{Parameters}
import freechips.rocketchip.util.{Str}

import boom.common._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ifu/frontend.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ import chisel3._
import chisel3.util._
import chisel3.internal.sourceinfo.{SourceInfo}

import freechips.rocketchip.config._
import org.chipsalliance.cde.config._
import freechips.rocketchip.subsystem._
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.rocket._
Expand Down

0 comments on commit 49e8d04

Please sign in to comment.