Skip to content

Latest commit

 

History

History
7 lines (5 loc) · 541 Bytes

README.md

File metadata and controls

7 lines (5 loc) · 541 Bytes

Digital Differential Analyzer

This is just a quick sketch of a differential analyzer for an FPGA. Currently it just does basic Euler integration to solve the differential equation dy/dt = y. I hope to revisit and extend this in the future, optimistically to compile some declarative representation of ODEs to Verilog.

References