Skip to content
View schnommus's full-sized avatar

Organizations

@apfelaudio

Block or report schnommus

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Pinned Loading

  1. apfelaudio/eurorack-pmod apfelaudio/eurorack-pmod Public

    A eurorack-friendly audio frontend compatible with many FPGA boards, based on the AK4619VN audio CODEC.

    SystemVerilog 180 10

  2. apfelaudio/tiliqua apfelaudio/tiliqua Public

    A powerful, hackable FPGA-based audio multitool for Eurorack.

    Python 46 3

  3. apfelaudio/eurorack-pmod-usb-soundcard apfelaudio/eurorack-pmod-usb-soundcard Public

    Example of using a eurorack-pmod as an 8-channel (4in + 4out) USB sound card, based on the LUNA project.

    Python 7

  4. apfelaudio/eurorack-pmod-litex apfelaudio/eurorack-pmod-litex Public

    Audio DSP on an FPGA using eurorack-pmod + LiteX with firmware in Rust.

    Python 7 2

  5. apfelaudio/verilog-vcvrack apfelaudio/verilog-vcvrack Public

    An example of simulating Verilog / FPGA gateware inside a VCV Rack plugin.

    C++ 37 2

  6. libsigrok-cypress-fx3-test libsigrok-cypress-fx3-test Public archive

    Experiments getting a Cypress FX3 SuperSpeed USB3 dev kit to behave as a logic analyzer.

    C 26 2