Skip to content

Commit

Permalink
Removed timescale directives. Fixed nits in the makefile.
Browse files Browse the repository at this point in the history
  • Loading branch information
secworks committed Feb 9, 2018
1 parent 162ccb9 commit 0852138
Show file tree
Hide file tree
Showing 3 changed files with 20 additions and 19 deletions.
5 changes: 0 additions & 5 deletions src/tb/tb_siphash.v
Expand Up @@ -35,11 +35,6 @@
//
//======================================================================

//------------------------------------------------------------------
// Compiler directives.
//------------------------------------------------------------------
`timescale 1ns/10ps

module tb_siphash();

//----------------------------------------------------------------
Expand Down
5 changes: 0 additions & 5 deletions src/tb/tb_siphash_core.v
Expand Up @@ -35,11 +35,6 @@
//
//======================================================================

//------------------------------------------------------------------
// Compiler directives.
//------------------------------------------------------------------
`timescale 1ns/100ps

module tb_siphash_core();

//----------------------------------------------------------------
Expand Down
29 changes: 20 additions & 9 deletions toolruns/Makefile
Expand Up @@ -36,28 +36,39 @@
#=======================================================================

CORE_SRC = ../src/rtl/siphash_core.v
TOP_SRC = ../src/rtl/siphash.v $(CORE_SRC)
CORE_TB = ../src/tb/tb_siphash_core.v

TOP_SRC = ../src/rtl/siphash.v $(CORE_SRC)
TOP_TB = ../src/tb/tb_siphash.v
CORE_TB = ../src/tb/tb_siphash_core.v

CC = iverilog
CC_FLAGS= -Wall

LINT=verilator
LINT_FLAGS = +1364-2001ext+ --lint-only -Wall -Wno-fatal -Wno-DECLFILENAME


all: top.sim core.sim


top.sim: $(TOP_TB_SRC) $(TOP_SRC)
$(CC) $(CC_FLAGS) -o top.sim $(TOP_TB_SRC) $(TOP_SRC)


all: top core
core.sim: $(CORE_TB_SRC) $(CORE_SRC)
$(CC) $(CC_FLAGS) -o core.sim $(CORE_SRC) $(CORE_TB_SRC)


top: $(TOP_TB) $(TOP_SRC)
iverilog -Wall -o top.sim $(TOP_TB) $(TOP_SRC)
sim-top: top.sim
./top.sim


core: $(CORE_TB) $(CORE_SRC)
iverilog -Wall -o core.sim $(CORE_TB) $(CORE_SRC)
sim-core: core.sim
./core.sim


lint:
verilator --lint-only -Wall $(TOP_SRC)
lint: $(TOP_SRC)
$(LINT) $(LINT_FLAGS) $(TOP_SRC)


clean:
Expand Down

0 comments on commit 0852138

Please sign in to comment.