Skip to content

1.0.0

Latest
Compare
Choose a tag to compare
@mole99 mole99 released this 16 Aug 10:08
· 11 commits to main since this release

This is the initial release for the fstdumper. The core function, dumping to .fst is already working.

  • fstdumper working on Icarus Verilog Version 11.0 (stable)
  • fstdumper working on Cadence Xcelium 19.09-s001

The file fstdumper.so.vpi is the exact same as fstdumper.so, it is renamed to be used by iverilog which expects a .vpi file ending.