Skip to content

Commit

Permalink
Merge d31117f into 37d947c
Browse files Browse the repository at this point in the history
  • Loading branch information
srz-zumix committed Jul 24, 2022
2 parents 37d947c + d31117f commit bfaeea0
Show file tree
Hide file tree
Showing 3 changed files with 13 additions and 0 deletions.
2 changes: 2 additions & 0 deletions azure-pipelines.yml
Original file line number Diff line number Diff line change
Expand Up @@ -140,6 +140,8 @@ stages:
CMAKE_OPTIONS: -Dbuild_gtest_samples=ON -Dbuild_use_msvc_c_preprocessor=ON
USE_EXPERIMENTAL:
CMAKE_OPTIONS: -Dbuild_gtest_samples=ON -Dbuild_use_experimental=ON
CPP_LATEST:
CMAKE_OPTIONS: -Dbuild_gtest_samples=ON -Dbuild_cpp_latest=ON
pool:
vmImage: windows-2019
steps:
Expand Down
3 changes: 3 additions & 0 deletions include/internal/iutest_option_message.hpp
Original file line number Diff line number Diff line change
Expand Up @@ -278,6 +278,9 @@ inline void iuOptionMessage::ShowSpec()
#ifdef _MSC_FULL_VER
IIUT_SHOW_MACRO(_MSC_FULL_VER);
#endif
#ifdef _MSVC_LANG
IIUT_SHOW_MACRO(_MSVC_LANG);
#endif
#ifdef __GLIBCXX__
IIUT_SHOW_MACRO(__GLIBCXX__);
#endif
Expand Down
8 changes: 8 additions & 0 deletions projects/cmake/internal_utils.cmake
Original file line number Diff line number Diff line change
Expand Up @@ -24,6 +24,11 @@ macro(fix_default_compiler_settings_)
if (build_no_exceptions)
string(REPLACE "/EHsc" "/EHs-c- /D_HAS_EXCEPTIONS=0" ${flag_var} "${${flag_var}}")
endif()

# c++latest
if (build_cpp_latest)
string(REGEX REPLACE "/std:c\\+\\+[0-9a-zA-Z]*" "/std:c++latest" ${flag_var} "${${flag_var}}")
endif()
endforeach()

foreach (flag_var
Expand Down Expand Up @@ -63,6 +68,9 @@ macro(config_compiler_and_linker)
set(cxx_base_flags "${cxx_base_flags} -experimental:preprocessor -Wv:18")
endif()
endif()
if (build_cpp_latest)
set(cxx_base_flags "${cxx_base_flags} -std:c++latest -verbose")
endif()
# experimental
if (build_use_experimental)
if((NOT (MSVC_VERSION LESS 1910)) AND (MSVC_VERSION LESS 1925) )
Expand Down

0 comments on commit bfaeea0

Please sign in to comment.