Skip to content

Commit

Permalink
CPU: Fix breakpoints/single stepping
Browse files Browse the repository at this point in the history
  • Loading branch information
stenzek committed Sep 3, 2023
1 parent 4974a80 commit a4c7293
Showing 1 changed file with 4 additions and 0 deletions.
4 changes: 4 additions & 0 deletions src/core/cpu_core.cpp
Expand Up @@ -2075,6 +2075,8 @@ ALWAYS_INLINE_RELEASE static bool BreakpointCheck()
Host::ReportFormattedDebuggerMessage("Hit breakpoint %u at 0x%08X.", bp.number, pc);
i++;
}

ExitExecution();
}
}

Expand Down Expand Up @@ -2208,6 +2210,8 @@ void Execute()

void SingleStep()
{
s_single_step = true;
s_single_step_done = false;
if (fastjmp_set(&s_jmp_buf) == 0)
ExecuteDebug();
Host::ReportFormattedDebuggerMessage("Stepped to 0x%08X.", g_state.pc);
Expand Down

0 comments on commit a4c7293

Please sign in to comment.