Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ivl: logic_lpm.c:463: emit_nexus_port_signal: Assertion `! sig' failed. #1119

Open
vicencb opened this issue Apr 29, 2024 · 0 comments
Open
Labels
Bug VLOG95 Bugs in vlog95 target code generator

Comments

@vicencb
Copy link

vicencb commented Apr 29, 2024

Hi,
this verilog code assigning the same wire to two different ports through two levels of hierarchy triggers an assertion failure.

module a ();
  wire aw;
  b b_inst ( .first(aw), .second(aw) );
endmodule

module b ( input wire first, input wire second );
  c c_inst ( .first(first), .second(second) );
endmodule

module c ( input wire first, input wire second );
endmodule

To reproduce execute this:
iverilog -tvlog95 test.v

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Bug VLOG95 Bugs in vlog95 target code generator
Projects
None yet
Development

No branches or pull requests

2 participants