Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Another assert for invalid input #26

Closed
cliffordwolf opened this issue May 23, 2014 · 1 comment
Closed

Another assert for invalid input #26

cliffordwolf opened this issue May 23, 2014 · 1 comment

Comments

@cliffordwolf
Copy link
Contributor

Iverilog 6547fde triggers an assert on the following (invalid) code:

module tb;
  wire [3:0] a, y;
  test uut (.a(a), .y(y));
endmodule

module test(a, b, y);
  input [3:0] a;
  output [3:0] y;
  assign y = a;
endmodule

The output I get is:

test.v:6: error: Port b (2) of module test is not declared within module.
ivl: elaborate.cc:1310: void PGModule::elaborate_mod_(Design*, Module*, NetScope*) const: Assertion `tmp' failed.
@martinwhitaker
Copy link
Collaborator

I've pushed a fix for this to the master branch.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants