Skip to content
This repository has been archived by the owner on Dec 29, 2023. It is now read-only.

Commit

Permalink
Added regression tests for br_gh167.
Browse files Browse the repository at this point in the history
  • Loading branch information
martinwhitaker committed Oct 8, 2017
1 parent 6f30eec commit 6197299
Show file tree
Hide file tree
Showing 8 changed files with 54 additions and 4 deletions.
19 changes: 19 additions & 0 deletions ivltests/br_gh167a.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
class my_class;
task run_test();
$display("PASSED");
endtask
endclass

class extended_class extends my_class;
endclass

module test();

extended_class obj;

initial begin
obj = new();
obj.run_test();
end

endmodule
19 changes: 19 additions & 0 deletions ivltests/br_gh167b.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
class my_class;
task run_test();
$display("PASSED");
endtask
endclass

module test();

class extended_class extends my_class;
endclass

extended_class obj;

initial begin
obj = new();
obj.run_test();
end

endmodule
2 changes: 2 additions & 0 deletions regress-sv.list
Original file line number Diff line number Diff line change
Expand Up @@ -122,6 +122,8 @@ br_gh164b normal,-g2009 ivltests
br_gh164c normal,-g2009 ivltests
br_gh164d normal,-g2009 ivltests
br_gh164e normal,-g2009 ivltests
br_gh167a normal,-g2009 ivltests
br_gh167b normal,-g2009 ivltests
br_ml_20150315b CE,-g2009 ivltests
cast_real normal,-g2005-sv ivltests
cfunc_assign_op_mixed normal,-g2009 ivltests
Expand Down
2 changes: 2 additions & 0 deletions regress-vlog95.list
Original file line number Diff line number Diff line change
Expand Up @@ -279,6 +279,8 @@ unp_array_typedef CE,-g2009,-pallowsigned=1 ivltests # Also string
br959 CE,-g2009 ivltests
br1003a CE,-g2009 ivltests
br_gh104a CE,-g2009 ivltests
br_gh167a CE,-g2009 ivltests
br_gh167b CE,-g2009 ivltests
sv_class1 CE,-g2009 ivltests
sv_class2 CE,-g2009 ivltests
sv_class3 CE,-g2009 ivltests
Expand Down
4 changes: 3 additions & 1 deletion regression_report-devel.txt
Original file line number Diff line number Diff line change
Expand Up @@ -1813,6 +1813,8 @@ test_mos_strength_reduction: Passed.
br_gh164c: Passed.
br_gh164d: Passed.
br_gh164e: Passed.
br_gh167a: Passed.
br_gh167b: Passed.
br_ml_20150315b: Passed - CE.
cast_real: Passed.
cfunc_assign_op_mixed: Passed.
Expand Down Expand Up @@ -2293,4 +2295,4 @@ test_mos_strength_reduction: Passed.
ufuncsynth1: Passed.
============================================================================
Test results:
Total=2291, Passed=2284, Failed=7, Not Implemented=0, Expected Fail=0
Total=2293, Passed=2286, Failed=7, Not Implemented=0, Expected Fail=0
4 changes: 3 additions & 1 deletion regression_report-strict.txt
Original file line number Diff line number Diff line change
Expand Up @@ -1810,6 +1810,8 @@ test_mos_strength_reduction: Passed.
br_gh164c: Passed.
br_gh164d: Passed.
br_gh164e: Passed.
br_gh167a: Passed.
br_gh167b: Passed.
br_ml_20150315b: Passed - CE.
cast_real: Passed.
cfunc_assign_op_mixed: Passed.
Expand Down Expand Up @@ -2290,4 +2292,4 @@ test_mos_strength_reduction: Passed.
ufuncsynth1: Passed.
============================================================================
Test results:
Total=2288, Passed=2281, Failed=7, Not Implemented=0, Expected Fail=0
Total=2290, Passed=2283, Failed=7, Not Implemented=0, Expected Fail=0
4 changes: 3 additions & 1 deletion regression_report-v10.txt
Original file line number Diff line number Diff line change
Expand Up @@ -1869,6 +1869,8 @@ test_mos_strength_reduction: Passed.
br_gh164c: Passed.
br_gh164d: Passed.
br_gh164e: Passed.
br_gh167a: Passed.
br_gh167b: Passed.
br_ml_20150315b: Passed - CE.
cast_real: Passed.
cfunc_assign_op_mixed: Passed.
Expand Down Expand Up @@ -2293,4 +2295,4 @@ test_mos_strength_reduction: Passed.
ufuncsynth1: Passed.
============================================================================
Test results:
Total=2291, Passed=2257, Failed=4, Not Implemented=30, Expected Fail=0
Total=2293, Passed=2259, Failed=4, Not Implemented=30, Expected Fail=0
4 changes: 3 additions & 1 deletion regression_report-vlog95.txt
Original file line number Diff line number Diff line change
Expand Up @@ -196,6 +196,8 @@ Running vlog95 compiler/VVP tests for Icarus Verilog version: 11.
br959: Passed - CE.
br1003a: Passed - CE.
br_gh104a: Passed - CE.
br_gh167a: Passed - CE.
br_gh167b: Passed - CE.
sv_class1: Passed - CE.
sv_class2: Passed - CE.
sv_class3: Passed - CE.
Expand Down Expand Up @@ -2293,4 +2295,4 @@ test_mos_strength_reduction: Passed.
synth_if_no_else: Passed.
============================================================================
Test results:
Total=2291, Passed=2253, Failed=5, Not Implemented=3, Expected Fail=30
Total=2293, Passed=2255, Failed=5, Not Implemented=3, Expected Fail=30

0 comments on commit 6197299

Please sign in to comment.