Skip to content

Commit

Permalink
Parameter printing fix.
Browse files Browse the repository at this point in the history
  • Loading branch information
tomahawkins committed Jun 2, 2014
1 parent 8dd5c14 commit 3147ca7
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions Language/Verilog/AST.hs
Original file line number Diff line number Diff line change
Expand Up @@ -50,8 +50,8 @@ type PortBinding = (Identifier, Maybe Expr)

instance Show ModuleItem where
show a = case a of
Parameter r n e -> printf "parameter %s%s = %s;" (showRange r) n (show e)
Localparam r n e -> printf "localparam %s%s = %s;" (showRange r) n (show e)
Parameter r n e -> printf "parameter %s%s = %s;" (showRange r) n (showExprConst e)
Localparam r n e -> printf "localparam %s%s = %s;" (showRange r) n (showExprConst e)
Input r a -> printf "input %s%s;" (showRange r) (commas a)
Output r a -> printf "output %s%s;" (showRange r) (commas a)
Inout r a -> printf "inout %s%s;" (showRange r) (commas a)
Expand Down

0 comments on commit 3147ca7

Please sign in to comment.